Sanitize mips exception handling.
[qemu] / target-mips / cpu.h
1 #if !defined (__MIPS_CPU_H__)
2 #define __MIPS_CPU_H__
3
4 #define TARGET_HAS_ICE 1
5
6 #define ELF_MACHINE     EM_MIPS
7
8 #include "config.h"
9 #include "mips-defs.h"
10 #include "cpu-defs.h"
11 #include "softfloat.h"
12
13 // uint_fast8_t and uint_fast16_t not in <sys/int_types.h>
14 // XXX: move that elsewhere
15 #if defined(HOST_SOLARIS) && SOLARISREV < 10
16 typedef unsigned char           uint_fast8_t;
17 typedef unsigned int            uint_fast16_t;
18 #endif
19
20 typedef union fpr_t fpr_t;
21 union fpr_t {
22     float64  fd;   /* ieee double precision */
23     float32  fs[2];/* ieee single precision */
24     uint64_t d;    /* binary single fixed-point */
25     uint32_t w[2]; /* binary single fixed-point */
26 };
27 /* define FP_ENDIAN_IDX to access the same location
28  * in the fpr_t union regardless of the host endianess
29  */
30 #if defined(WORDS_BIGENDIAN)
31 #  define FP_ENDIAN_IDX 1
32 #else
33 #  define FP_ENDIAN_IDX 0
34 #endif
35
36 #if defined(MIPS_USES_R4K_TLB)
37 typedef struct tlb_t tlb_t;
38 struct tlb_t {
39     target_ulong VPN;
40     uint32_t PageMask;
41     uint_fast8_t ASID;
42     uint_fast16_t G:1;
43     uint_fast16_t C0:3;
44     uint_fast16_t C1:3;
45     uint_fast16_t V0:1;
46     uint_fast16_t V1:1;
47     uint_fast16_t D0:1;
48     uint_fast16_t D1:1;
49     target_ulong PFN[2];
50 };
51 #endif
52
53 typedef struct CPUMIPSState CPUMIPSState;
54 struct CPUMIPSState {
55     /* General integer registers */
56     target_ulong gpr[32];
57     /* Special registers */
58     target_ulong PC;
59 #if TARGET_LONG_BITS > HOST_LONG_BITS
60     target_ulong t0;
61     target_ulong t1;
62     target_ulong t2;
63 #endif
64     target_ulong HI, LO;
65     uint32_t DCR; /* ? */
66     /* Floating point registers */
67     fpr_t fpr[16];
68 #define FPR(cpu, n) ((fpr_t*)&(cpu)->fpr[(n) / 2])
69 #define FPR_FD(cpu, n) (FPR(cpu, n)->fd)
70 #define FPR_FS(cpu, n) (FPR(cpu, n)->fs[((n) & 1) ^ FP_ENDIAN_IDX])
71 #define FPR_D(cpu, n)  (FPR(cpu, n)->d)
72 #define FPR_W(cpu, n)  (FPR(cpu, n)->w[((n) & 1) ^ FP_ENDIAN_IDX])
73
74 #ifndef USE_HOST_FLOAT_REGS
75     fpr_t ft0;
76     fpr_t ft1;
77     fpr_t ft2;
78 #endif
79     float_status fp_status;
80     /* fpu implementation/revision register */
81     uint32_t fcr0;
82     /* fcsr */
83     uint32_t fcr31;
84 #define SET_FP_COND(reg)     do { (reg) |= (1<<23); } while(0)
85 #define CLEAR_FP_COND(reg)   do { (reg) &= ~(1<<23); } while(0)
86 #define IS_FP_COND_SET(reg)  (((reg) & (1<<23)) != 0)
87 #define GET_FP_CAUSE(reg)    (((reg) >> 12) & 0x3f)
88 #define GET_FP_ENABLE(reg)   (((reg) >>  7) & 0x1f)
89 #define GET_FP_FLAGS(reg)    (((reg) >>  2) & 0x1f)
90 #define SET_FP_CAUSE(reg,v)  do { (reg) = ((reg) & ~(0x3f << 12)) | ((v) << 12); } while(0)
91 #define SET_FP_ENABLE(reg,v) do { (reg) = ((reg) & ~(0x1f <<  7)) | ((v) << 7); } while(0)
92 #define SET_FP_FLAGS(reg,v)  do { (reg) = ((reg) & ~(0x1f <<  2)) | ((v) << 2); } while(0)
93 #define FP_INEXACT        1
94 #define FP_UNDERFLOW      2
95 #define FP_OVERFLOW       4
96 #define FP_DIV0           8
97 #define FP_INVALID        16
98 #define FP_UNIMPLEMENTED  32
99
100 #if defined(MIPS_USES_R4K_TLB)
101     tlb_t tlb[MIPS_TLB_MAX];
102     uint32_t tlb_in_use;
103 #endif
104     int32_t CP0_Index;
105     int32_t CP0_Random;
106     target_ulong CP0_EntryLo0;
107     target_ulong CP0_EntryLo1;
108     target_ulong CP0_Context;
109     int32_t CP0_PageMask;
110     int32_t CP0_PageGrain;
111     int32_t CP0_Wired;
112     int32_t CP0_HWREna;
113     target_ulong CP0_BadVAddr;
114     int32_t CP0_Count;
115     target_ulong CP0_EntryHi;
116     int32_t CP0_Compare;
117     int32_t CP0_Status;
118 #define CP0St_CU3   31
119 #define CP0St_CU2   30
120 #define CP0St_CU1   29
121 #define CP0St_CU0   28
122 #define CP0St_RP    27
123 #define CP0St_FR    26
124 #define CP0St_RE    25
125 #define CP0St_MX    24
126 #define CP0St_PX    23
127 #define CP0St_BEV   22
128 #define CP0St_TS    21
129 #define CP0St_SR    20
130 #define CP0St_NMI   19
131 #define CP0St_IM    8
132 #define CP0St_KX    7
133 #define CP0St_SX    6
134 #define CP0St_UX    5
135 #define CP0St_UM    4
136 #define CP0St_R0    3
137 #define CP0St_ERL   2
138 #define CP0St_EXL   1
139 #define CP0St_IE    0
140     int32_t CP0_IntCtl;
141     int32_t CP0_SRSCtl;
142     int32_t CP0_SRSMap;
143     int32_t CP0_Cause;
144 #define CP0Ca_BD   31
145 #define CP0Ca_TI   30
146 #define CP0Ca_CE   28
147 #define CP0Ca_DC   27
148 #define CP0Ca_PCI  26
149 #define CP0Ca_IV   23
150 #define CP0Ca_WP   22
151 #define CP0Ca_IP    8
152 #define CP0Ca_IP_mask 0x0000FF00
153 #define CP0Ca_EC    2
154     target_ulong CP0_EPC;
155     int32_t CP0_PRid;
156     int32_t CP0_EBase;
157     int32_t CP0_Config0;
158 #define CP0C0_M    31
159 #define CP0C0_K23  28
160 #define CP0C0_KU   25
161 #define CP0C0_MDU  20
162 #define CP0C0_MM   17
163 #define CP0C0_BM   16
164 #define CP0C0_BE   15
165 #define CP0C0_AT   13
166 #define CP0C0_AR   10
167 #define CP0C0_MT   7
168 #define CP0C0_VI   3
169 #define CP0C0_K0   0
170     int32_t CP0_Config1;
171 #define CP0C1_M    31
172 #define CP0C1_MMU  25
173 #define CP0C1_IS   22
174 #define CP0C1_IL   19
175 #define CP0C1_IA   16
176 #define CP0C1_DS   13
177 #define CP0C1_DL   10
178 #define CP0C1_DA   7
179 #define CP0C1_C2   6
180 #define CP0C1_MD   5
181 #define CP0C1_PC   4
182 #define CP0C1_WR   3
183 #define CP0C1_CA   2
184 #define CP0C1_EP   1
185 #define CP0C1_FP   0
186     int32_t CP0_Config2;
187 #define CP0C2_M    31
188 #define CP0C2_TU   28
189 #define CP0C2_TS   24
190 #define CP0C2_TL   20
191 #define CP0C2_TA   16
192 #define CP0C2_SU   12
193 #define CP0C2_SS   8
194 #define CP0C2_SL   4
195 #define CP0C2_SA   0
196     int32_t CP0_Config3;
197 #define CP0C3_M    31
198 #define CP0C3_DSPP 10
199 #define CP0C3_LPA  7
200 #define CP0C3_VEIC 6
201 #define CP0C3_VInt 5
202 #define CP0C3_SP   4
203 #define CP0C3_MT   2
204 #define CP0C3_SM   1
205 #define CP0C3_TL   0
206     int32_t CP0_Config6;
207     int32_t CP0_Config7;
208     target_ulong CP0_LLAddr;
209     target_ulong CP0_WatchLo;
210     int32_t CP0_WatchHi;
211     target_ulong CP0_XContext;
212     int32_t CP0_Framemask;
213     int32_t CP0_Debug;
214 #define CPDB_DBD   31
215 #define CP0DB_DM   30
216 #define CP0DB_LSNM 28
217 #define CP0DB_Doze 27
218 #define CP0DB_Halt 26
219 #define CP0DB_CNT  25
220 #define CP0DB_IBEP 24
221 #define CP0DB_DBEP 21
222 #define CP0DB_IEXI 20
223 #define CP0DB_VER  15
224 #define CP0DB_DEC  10
225 #define CP0DB_SSt  8
226 #define CP0DB_DINT 5
227 #define CP0DB_DIB  4
228 #define CP0DB_DDBS 3
229 #define CP0DB_DDBL 2
230 #define CP0DB_DBp  1
231 #define CP0DB_DSS  0
232     target_ulong CP0_DEPC;
233     int32_t CP0_Performance0;
234     int32_t CP0_TagLo;
235     int32_t CP0_DataLo;
236     int32_t CP0_TagHi;
237     int32_t CP0_DataHi;
238     target_ulong CP0_ErrorEPC;
239     int32_t CP0_DESAVE;
240     /* Qemu */
241     int interrupt_request;
242     jmp_buf jmp_env;
243     int exception_index;
244     int error_code;
245     int user_mode_only; /* user mode only simulation */
246     uint32_t hflags;    /* CPU State */
247     /* TMASK defines different execution modes */
248 #define MIPS_HFLAG_TMASK  0x007F
249 #define MIPS_HFLAG_MODE   0x001F /* execution modes                    */
250 #define MIPS_HFLAG_UM     0x0001 /* user mode                          */
251 #define MIPS_HFLAG_DM     0x0008 /* Debug mode                         */
252 #define MIPS_HFLAG_SM     0x0010 /* Supervisor mode                    */
253 #define MIPS_HFLAG_RE     0x0040 /* Reversed endianness                */
254     /* If translation is interrupted between the branch instruction and
255      * the delay slot, record what type of branch it is so that we can
256      * resume translation properly.  It might be possible to reduce
257      * this from three bits to two.  */
258 #define MIPS_HFLAG_BMASK  0x0380
259 #define MIPS_HFLAG_B      0x0080 /* Unconditional branch               */
260 #define MIPS_HFLAG_BC     0x0100 /* Conditional branch                 */
261 #define MIPS_HFLAG_BL     0x0180 /* Likely branch                      */
262 #define MIPS_HFLAG_BR     0x0200 /* branch to register (can't link TB) */
263     target_ulong btarget;        /* Jump / branch target               */
264     int bcond;                   /* Branch condition (if needed)       */
265
266     int halted; /* TRUE if the CPU is in suspend state */
267
268     int SYNCI_Step; /* Address step size for SYNCI */
269     int CCRes; /* Cycle count resolution/divisor */
270
271 #if defined(CONFIG_USER_ONLY)
272     target_ulong tls_value;
273 #endif
274
275     CPU_COMMON
276
277     int ram_size;
278     const char *kernel_filename;
279     const char *kernel_cmdline;
280     const char *initrd_filename;
281
282     struct QEMUTimer *timer; /* Internal timer */
283 };
284
285 typedef struct mips_def_t mips_def_t;
286 int mips_find_by_name (const unsigned char *name, mips_def_t **def);
287 void mips_cpu_list (FILE *f, int (*cpu_fprintf)(FILE *f, const char *fmt, ...));
288 int cpu_mips_register (CPUMIPSState *env, mips_def_t *def);
289
290 #include "cpu-all.h"
291
292 /* Memory access type :
293  * may be needed for precise access rights control and precise exceptions.
294  */
295 enum {
296     /* 1 bit to define user level / supervisor access */
297     ACCESS_USER  = 0x00,
298     ACCESS_SUPER = 0x01,
299     /* 1 bit to indicate direction */
300     ACCESS_STORE = 0x02,
301     /* Type of instruction that generated the access */
302     ACCESS_CODE  = 0x10, /* Code fetch access                */
303     ACCESS_INT   = 0x20, /* Integer load/store access        */
304     ACCESS_FLOAT = 0x30, /* floating point load/store access */
305 };
306
307 /* Exceptions */
308 enum {
309     EXCP_NONE          = -1,
310     EXCP_RESET         = 0,
311     EXCP_SRESET,
312     EXCP_DSS,
313     EXCP_DINT,
314     EXCP_NMI,
315     EXCP_MCHECK,
316     EXCP_EXT_INTERRUPT,
317     EXCP_DFWATCH,
318     EXCP_DIB, /* 8 */
319     EXCP_IWATCH,
320     EXCP_AdEL,
321     EXCP_AdES,
322     EXCP_TLBF,
323     EXCP_IBE,
324     EXCP_DBp,
325     EXCP_SYSCALL,
326     EXCP_BREAK, /* 16 */
327     EXCP_CpU,
328     EXCP_RI,
329     EXCP_OVERFLOW,
330     EXCP_TRAP,
331     EXCP_DDBS,
332     EXCP_DWATCH,
333     EXCP_LAE,
334     EXCP_SAE, /* 24 */
335     EXCP_LTLBL,
336     EXCP_TLBL,
337     EXCP_TLBS,
338     EXCP_DBE,
339     EXCP_DDBL,
340     EXCP_MTCP0         = 0x104, /* mtmsr instruction:               */
341                                 /* may change privilege level       */
342     EXCP_BRANCH        = 0x108, /* branch instruction               */
343     EXCP_ERET          = 0x10C, /* return from interrupt            */
344     EXCP_SYSCALL_USER  = 0x110, /* System call in user mode only    */
345     EXCP_FLUSH         = 0x109,
346 };
347
348 int cpu_mips_exec(CPUMIPSState *s);
349 CPUMIPSState *cpu_mips_init(void);
350 uint32_t cpu_mips_get_clock (void);
351
352 #endif /* !defined (__MIPS_CPU_H__) */