Bumping to 0.9.2-1 to fix a bad signal name