From 1f36faa8f303b72492ad538f571f1fa07b5151fe Mon Sep 17 00:00:00 2001 From: Rikhard Kuutti Date: Tue, 30 Mar 2010 11:05:56 +0300 Subject: [PATCH] Added welcome dialog --- Client/mainwindow.cpp | 3 +++ Client/mainwindow.h | 2 ++ Client/speedfreak.pro | 9 ++++++--- Client/start.gif | Bin 0 -> 101428 bytes Client/welcomedialog.cpp | 50 ++++++++++++++++++++++++++++++++++++++++++++++ Client/welcomedialog.h | 36 +++++++++++++++++++++++++++++++++ Client/welcomedialog.ui | 35 ++++++++++++++++++++++++++++++++ 7 files changed, 132 insertions(+), 3 deletions(-) create mode 100644 Client/start.gif create mode 100644 Client/welcomedialog.cpp create mode 100644 Client/welcomedialog.h create mode 100644 Client/welcomedialog.ui diff --git a/Client/mainwindow.cpp b/Client/mainwindow.cpp index 91ca8a4..09ce0f0 100644 --- a/Client/mainwindow.cpp +++ b/Client/mainwindow.cpp @@ -20,6 +20,9 @@ MainWindow::MainWindow(QWidget *parent) : creditsDialog = new CreditsDialog; routeSaveDialog = new RouteSaveDialog; + + welcomeDialog = new WelcomeDialog; + welcomeDialog->show(); } MainWindow::~MainWindow() diff --git a/Client/mainwindow.h b/Client/mainwindow.h index 3299ba8..ae7c0d0 100644 --- a/Client/mainwindow.h +++ b/Client/mainwindow.h @@ -12,6 +12,7 @@ #include #include "creditsdialog.h" #include "routesavedialog.h" +#include "welcomedialog.h" namespace Ui { class MainWindow; @@ -25,6 +26,7 @@ public: CreditsDialog *creditsDialog; RouteSaveDialog *routeSaveDialog; + WelcomeDialog *welcomeDialog; protected: void changeEvent(QEvent *e); diff --git a/Client/speedfreak.pro b/Client/speedfreak.pro index 3d53060..78c2985 100644 --- a/Client/speedfreak.pro +++ b/Client/speedfreak.pro @@ -7,13 +7,16 @@ SOURCES += main.cpp \ mainwindow.cpp \ creditsdialog.cpp \ routedialog.cpp \ - routesavedialog.cpp + routesavedialog.cpp \ + welcomedialog.cpp HEADERS += mainwindow.h \ creditsdialog.h \ routedialog.h \ - routesavedialog.h + routesavedialog.h \ + welcomedialog.h FORMS += mainwindow.ui \ creditsdialog.ui \ routedialog.ui \ - routesavedialog.ui + routesavedialog.ui \ + welcomedialog.ui RESOURCES += graphics.qrc diff --git a/Client/start.gif b/Client/start.gif new file mode 100644 index 0000000000000000000000000000000000000000..fd8ba3dee94d10b69058c2a7ad7171a4bbd77a0b GIT binary patch literal 101428 zcmeF(XHZl9+vxk1LKAV9 zM8qY;B&8$>Qj#)K1Zf#5NrD_vW|fSrG(nC)lqJZ^ODf8)m0K+H7GLDN=ll_DoSd~O6!z2u2b2hqOK{YrKP66ZoS$%O?53* z4Lx;@4JuljG_^F;b@WI&q&Wbjqhp}GVUwyTW^c5 z?iO9W%^UR%H|lL&Z?M%s&uELjj)}gWzM-DJf!Q}0Vxy7SeMyNeMmtQ6jr6u`J-1%d zz+}6HvF?%0n~b*@Z6fa=Z_zU%n{6Rmn~}{eOg9O>)w0@ZZf2-&zH8&w?ORRBM&{d1 z%yt=B?lIlEXRD5hXIJNE3^ zvDIRyz3omL@}2{fT~>Q`+HRv9+_B5ShO%epF1y{k_AafFf1;^ry~mNVXV;zshxQ-b zM>%-h?%*!_1N(Q|9bM8`cj%yf>Nd+xV}rv-><>9oziV%DKC#V2GMc=bN506p5AJ~84qJLmO zkl!VG$0-*^fdA#7OWz%-%gzVG!lFXMLpm?|N5@9R#U7SDCtkf7|2{g>H#;*m{o(EG)XdB~-uria-onQZON*Zum%lE3`|^G98~^*auPgko z%iq8Azpp?*fC7KLx%UYt-xJ4)er~?5M5}GK6x3eY3Bk{ng4a(GeNQ-fqV}CR?MB>w z*2l-q!wFDWhJsMQcR)k%rU8DujQ|865JJdWvzW~x2r)H>E>>=91b)r-OzZON?J-gt z+=si$^E$5(&7)@in_08wE>?++y?pNRHyG?D4mfLZsWT4XZ>CGcOcS?uu(q7%q z+HtpRq~8C-+mW8Tw_o0)LxkmRYFJ~fkz(qOy*1^pIvHzrWZTqMyy;2XaB8%-wsP_j z(>zArwytXWY0=(Wjt}apXZl#jda`Zn@5~L=_{@wxsK5K}B|AiT_0EQxg;!lk>PH_o z)P9)cBG#+NB8c1{|>-Zxpb(6D>n$CT*M7VZ=T|Y;4M|hLd6fbj)h6N6pe+;o|_trAcm`s zN3KnA9gk8iC>oE}xHB~#L+Vg{6}$0;>#I1uiK18WMxUl$T_MBPy=GWQxV=uWRxW;> zXtR0xbrQvL-J9fn2i)FVwR0(clj3l0`b{b|eBDIa@f5d-Ypw;w6X_mzrYACJ9qT4D zeP6guX8BJPPi6;vnx4#|!_=mjp%U&>xsl2@r>@6temj-NuvDAQPd?y2eIw1~=5#^k zxwq4W%y6~0MfoZ2Z;OixZoa))a_8;aTYOfB+Du8+3-_7Qnu(h;WeuO+&fI3h)Mr_3 z5~pU%yOeLuR`hP3nXTkls?SwDJ8){Qy5Hs2+@0ZbGjn&j;p+1>uTxIV*G?7Ony;I^ zGc#Y$>rj8!u=wKCyT+x7Tko1yKFz$l2f#IWY^bCMuNk3I!fQe6&hlFERvHU!;s-qz z+NDmGEOf~F%`S8jBQ)N3txffK->q6$^8UWY-P!j&q)v?wy&L;HK0MHyEcx)z==1D{ zM`XC>BF93~bMdjYO6lSg8{N6ZrxYvAkI(iU^!)hT?quo57Y=@NAN!~gnxFcQr+R)G za4jtTH0W`6?$Z#hQ}gq%Z=dJq5&y~3&+kS9KF@uANr!7KaYH3fFO5a2lr4?N>dr5{ zVpwS{zfL}QdihP-$+G2%OuzZ%NoIuBm#O^J(_f~G3d_E{Ex9}YWro$M^>wzY@ATKX zn#r=S^9`TpzrJI`*RSx}B+slYbgA54dEcx1Zsh~VYW=sxX9v%G``CZ-_P0;Ne(%10 z=0>dNFTGAZ!(W~%yv_eId-omxE3b3?_m#!IGvB{0P2T>_U-|q_@E3??0&r_KScXSO z*f7D8U2K>EF9;vYgsNCKqwIOXQoT%=ZdWt*0xyJ!&P7;Rw}__mLRD>YQ3tzPBP0RZUyH~{VeCxK|tGvLPo0Qvvy0Jx@XM?PyBEI0sMO7CA)3%Q9Xaep37 zz1asBS!)r=Al?)l07w}Y-U-T3wyl_Y0Cu^3^d1!qKd?b*$C!rywT+;bHUyp+A7?!w zY-uaK$$d(^#i1o6w1#P}a+RovlOyWSA1FvFJezCg`wVKSv0Uy+bc|OWtH_8sqvhDp zB`rVoD95a0ngeRhu!@x;Kl1?lm{)zAF(GJNt8A-EkXoa|x1iFV18rKa9j=eBJMIuw z*&02YwkyX3CvV1-1!+z0%HQHm28W*SU**cQ@h%~4lOV2Tvk&6DH>H5Y4@d!^iB&Skg1NI_2 z!}f<+@3E$n)wsJmlU&(B9Wt)_^er#Um3EHHxTKl3r|xt0f{7}!!4?Y8iK2;gxnk{L z6%-w4L77^Tjwd)PG1ex65zyeIT`jxevU~QNeGhgX`|F;=(+UmR}u-WIIsEJ z6%4XfdPfK09Tdm#HitceA?LD058S$Wo(E%k%AGUPca__1=~h_BXHnOmd zUeF*#P&Wgw+VHgm>b~{s^D6$lo73t``2oCJd#(qmr_j(1PAT@}+%OC?Q<~OcG^rO1 zUKPVw-zA!+rrCXyUw;$iK>iY*6f8RE-8$`bsIy1(wk`~#e{}dmpMDvWt)fl3b*5`Y z(X%297t0GqDl^HE%`lbNH@OE3Qa|!9oaB0hDj0@n*0`>X4GbAD4L2I?I$vh%1RTs| zSw;o2LE6cC4;pt&ynXEO;Xx+gWz7vq<-d58J)H3lO1!-)cW?)s=MN|VXOm5n z4L(}ht4?z8U#1Eqaf2w~0PowKCAUtfy(;3X*Uv(?Q|skxEH$e~GG5Nrw>A4DLKZqw zSFb_}`zD&hBJ;jocvaqWwsCch$syXv$T@x3s>Zv0VJK!zP+zYVxnQM4jLhzXiuc#$ zHNUe5pC49SVMchn^*GerANka<|HenzT+t#%Gazi;k{3w^WpP1Yb%-E@0%m`{dZeg} zRF2I3lkkP&E7YIo-RIuKfWQi+cv?53`$CyQ1f#Gdx}FObo*U&`vww_8pO=@ zk_l-?PK-0@>9tvY?@99}Mx zQAI87#$i&4P!U=%hs^~o#GzDZBuT9wj z^)y??qCo2Tlx+=JNXsHvY(2hsSE@la^8$N=d9}G{C>xorb+s5rvB34i_h(R?Ff^9w z{;L=Erx|dsqvqUgo1(*4TeRFfu}R&&wr+Pir5WqWZa&;C)0coP&T+54CD*}yLEu&2 z77JuMSZ6RPI;muler$hnQ_b6rzYt5#Tv=@hI5OrzweQ2D8B1bz%=7-t7+wsja0W zp;ueJubP!2WkN7#magF+sz#dEhih|*ttyt~5m!iKl=A-exX7KcVQ$n|`@RlG&jp;f z$GF_nM9`uq7;(TuOf@aH^qm|l;mQ(#^1Y7Sf?cr596n$UnBL#3<#g51D^%lUTesFH z9e!G?16y0y6lzlzmGpoLG3QYqcx+w`(V?BtgB*Hzj0C~X#!ea$9)Soo?-ReupCd^}>X&d${Es3^ERa*H^iyr^PdG-Y`-zhp{dSi= z+2-4No?89*MQiwGo0=?-Gm=)QIN;PWHz(@-s&B001G!Cb|K)s3o`X6q(&JD2;cX*{H`=a8nT z8PQi`vAL8D$+62hyMZ8LdO#emo&ZQZtOQLSLuPs*S z$Tg&QyRsEAMB)0scO zlf)IPfEPl6I%9$Rh){2aB&0?;fCYk0fe=z+s4ltjb$*Lha+h z!$6^MZ-gQ(L{mykkA=9#fnVl8mAgVM#zHA}wt93#9u*$M5Lzw_%k@I6WuK2cD7w21 zAE*PN*1*oNC0!!rqDkP@)|WRpLGnnb7y#jG7x5w&;ieb5h6~~!OIW46R|G)?D*#|+ z3YfMbN|gytDFQ2Vp*uB)E-ai)XrpSx0Dd1VfcCcqZ$ZuAZc@@z6*IjSo~Vz zw3sjeEnyi+MkSm~ip^Li_fB2g#Dzp3f^H*Sxp57(h7BvamTu^@HH_j7mr6n{YP*J{ zWFk!seE>B&RDU1TXx-`srw#RX>9_eb$OdAjjnmc)Dl~%&C<5Rehq7JrGAFFlwpVTh zy|P$M5nN!1871fAHmDgHN&$q9>cDn#gtBRf!0{{_C&7J;u#Vu~cU?S@k$uxug)*&& z2vU%wK)29xCEatDkwWUuux%8`bq+KNp9!rKOC=%m*-&+!#u6C3v(n*C4!X(#TB`*d=4^-4Bx?m>QcZpu)G_IDmTqUb_4^P zST_u5tBpwJR!o8=2kOp%Kh1?5@Xfa|1=~;%J1)RaaHMQVg$@kK3qg|cK{C3mLS3Hh zrMHCv=`vTGpnNM1^xgu&mIl3$E@R7pGl;|uMFl%S(Dg*f2@Y&8O(*~l_vFglc9OZD zAe|N@9jqW#kqb-KmI`?c^JL1Fs1YvaLj9T{L=t2bvH0|Y;0nsiv#x)AZ-h&fFulIr zK`2e$Cw6&{+9?GPh6rj+r|sJ6Q4_sJJTdZxyTpMDH=fp&4iA=&ektY3l#Lsdy|yo# zxKK8gUiP-GY<940{!1B8=JtDo+l%(MKV7)Jlz#h5-R+e@!H9SpAh5uOEa+ht>>>-1 z!9vxu&_gWjR~DX7E^1gVez;uXV!2dCxlDbz>`=M<*K#7ELea2d?coaLixsLF6>9Yr z8bhlpw7yo52$ed9l^YLNZoXKlH&hA|^dvzX`fr>K|GVPQ#|Ll`=bmiMuR(J|cciEO zjzeeIOb~Re>uhVmk;C+v3Y_vr035zE+bFDJGPm_eoF9o=!KdSLZv2cxIXOB)0p~wY z4P8k+8s4)ch(jS`<0k^7zkdoUv^)nr-FZYp+6Le3<5UW?DaDZ#90@OjbcMuhH#&ui z*oL08z)H)2+9KDSc5M?;_U?y@Nx4i33Xw~KlZ0c{l(U3XdLLAB!~K4X3oBj<`Hbmu zc(~+=tc>*XmGF1J~S0iTmNQKjP4JZ;RTxfW+-4>{7_)JO7lPZ!VYe$+xUPAy zd68ThXE@{m!RTEpR{m@i6-&LnFVm0(qSM|(L`q2?lqB>o7q(wnULy{Sq4Yc5Ol!W<~ zlwj#9D$IzNAmfRBt=yAZ9LjP7p9uej{m5aOTEVvzJ3fr?YCS&d3N-tu= zv@)5P;mup`1n%%Q>I#yns@a+kL&ibY4ZyMEQWK;E_$sZ)zdn9X}Q^Z^k1U~!tYeB8av#(qJn>>PsxcSix^G?_i9aB z-EJ(wjwTcLi@VSz5tySEt_L-hPVN?$9fzgNsO~mmA_xtp&c_%Tj z`dlR=F7i4TcBbFO{9AZi#U&rLMf10&2r?anXXCZg)Q?=&39fHaz>p40A6?+VDi5^l z3+r2;by)q(5++8k>(uVA=h_UIx!6EGcYBmb2+~(VRh`0b#mWmuY_k4Tb>l|KiPn}u zbk*Bx<3k{+XrA51ehF-Wrl#mq)?t>ovrv=J>0Qpu@YP%=jD@BesynjnKL5GK`Tp56 zH*O^nY!s0GQtoorF~f786dE4{MuR#|F_y7w8y+j2IbTU1y;mw3WodKc^u3s?%Q8|5 zzWpVa{1PF2e!}guyb!q;bh!4Hv+9dS5OSy4145HfgpGBucU&4wkx6bjH`N+e>HIQS zYN@?+kQQMaAV%B>LVZ{AlzT#hiW6IKm&i~enY~Jiu-pz}XvcYhoi&S|_=Mu$i`ekO zwHjjJ7}fWt*Z?Z%p?~*AP_PIGua;qIiqP{n<)dxLvhfGrbkR;?&)k^oPmW zNW9h&yPa#KHIO74_yA_oY?r|^jc!wUsF|yopVD)fU3HmX>8XQ;GB4C>n@fxzOqcS$ z^w;myDGK_QNDw!BS{Q%spm&_darLjydo80Xb8Tl8KU@HDvAQ*4mxx!u3;HXukNO+q&L02 zTshdkJ2ej4vGWtsnmvotvk)tb{}|6(c?nAOyLq>Qj<%rB`mg>9{bUkUb-Qs+=}_J2 zb8??AR~oCG-D3Dsa!U|;t?Jt;BO~sHieOs{;%M_B`s5NLx5kytIydH4m(ZO zs@H@Q!Y zt88*H^^<{HUuc412;IS(M$`9}jpNLwI1yG#&jc!;v<_190{bzz{04{xl$&5IB( z?Ug45zZrT7QRJB*$P5s1ks3e!Swx?x*duZlCUx$ZBw<+h@*2kFWxvZ5}sk4H&*MzF`fFwM8FFANa7d~zhiDiLSakh$b&tL2e zyLj+i>|OsZ-SFdf;V%B+@h2~f)P#HVhl|vN(u#tWNnmLnGJ*)++b`lkhFbz4ITBbG zxDaO*{xUV-vtK0Ny(awrWO(cp-K#5DQz{~1DUz0UIWg_B5&&{$AhM}m=W9f$Y;Xn% zjAw-%uK}HijJ{qN?GhPXVjUTY2r7?^f(v*I5&z2CP>B?AK_X6p zb(#G%{vHH8QxngdieK!HS0rDNWL%M?fDdz!SzIJkHtaoFG;UCrT$?WFXcaN>o0dxb{3EjFLz^gizFn`;hr? z5;Dn;1ovaX1As(7R+7BrfV&0t2E3le2;jiHIIx2h z*a^10M#WW!TIde;RciOugEXO8FNG7`@&{Q$?(1M4$m9+Ba7F1<1L?#w$fTpR)T7K4 z|79V^TIjLmR5~&(a3J+49v;O*=8)l5c*y&{WE|)Stj15A3M#S&iIG9D$ZPK;30U5x znlT_tI=wf+FXd4Bts0O#Jw2r;Se*sdV1sq=5M3HXp8;7re%axg&|YT72`0>w1M>wk zVapjO*oyj$P;I(zytF8i3-mm`wqY`}RFYO-0H7$LFN%Q=-_8P^=d$WjvXI;?ZUJzA z0pLi3#HrWf3)5At!Sl=MrO2G}b&$n%5M4@+4hy`N0m2dOdX{ql87A0(3AJa!E-(@4 zOjI2cJ;=mnS3*Yd<*-0%M1C|>G|91@@)q5 zcYevI$lTataATkS4Z&Pxmwv;(?uNtQ4aYAxs4@l41_j6M3tTP~xTY7l*A;jS7M%W4 zK$9u-HYoJ9FFbdl&_BKKLS13NVBw`Nh4jJX-%}9ozwZgzpDF0i6!d2b`u}$d;{3mu zg1oqyC3paC&&(A+V+WOQqhpO1bN9poFnM27VgC=AA~Vdk^xS3`ej9*)PeJak5m{A) z4^wzX1SM*ap&Pnhq28({e66*O_V}9e+Mfa0bbNP=HOP$6P$XphEC?UUhRE_LmQlIo z3S@4ZI;A}BT<SQL6hqy=`4 z@=S8jqhxb;F2<8#UNu-HWc)=Nw~uI%dKt=pJ#dM5aFzIVWJaSp#R!O!q{gC+=ccI` zGq^+5D?+oin*Qr@^s_IoWb&UY8>igDl;6*j>f^z6)yf}-H8jh|pDjB!Jr;A?wY6ld z+GWcZ?U)eu9R%gaY-v68bGDo+I~|o6H2QnC1kLCeahXJF+E&AoZSjTi=+(Vs&ttoH z;5<1H=|NtEA!i2X1y#HYo(7u)Jcf3r+toFbJ2nk_IeY5dX%u?==C6^|yKz09+;BAe z_eh#7z3p}*8W$QD6ue6VbC_&iq4_Doh#3^`KprR`rv#hDGag>_7#H1bdDG~1&f z$qoYto6Wvwy3$`yI$F90Gh0@t0uaM3wzHlSYzcm3L<(*kE%xe_OnVotoDhdQjeiZS zS8c(%^MX(t0Z^(*8>&Wkh8ao3ZSps#9{HrZR>A<#XMEBsTX0?2X;>16u{>9bM22$n z2ojCT2Kk^kH!J-T5mif5$22?`PX(YP3v}0!`J7l__rQd%A1+^HnlmAIK&TL> zLvU#ArNTBEcs@98S4V`Vk?g0PgxzF6zNWUrO_)wVB@C=!yRS>ZnfNgJ`*9&pc2FIB z3jNCgUE#K!ELRh2XUpE43Q@>_k$F}B2D|^=95+xIl-kP zT4nUGXA8x~*^2({!OilY#9}05HxLm-{)4F|!vlmnR7-8Mp*SaI)>NtU#a#!0aK+=Y z7@vsNr&;q0$~KUO@SHow7LSj(FTLu{)m_;1qS;BJFmDRKt_`JwKi~asEzErhB>w_{ z$DfjqvTC>p^y9r*1*7i_`joY0hztmwzm(GiCn_hxyN0q=bkod4d3^3ugN@%lThBri zJn*2MYt0Gs47mP_X1~m*t#b2Z_{zQ9IM0R^OjyLFystqqyZc6oFv`W}Ru}v3is>?h zhWbvY7uuX9Q9>yYbvo)Q4bsHKbWzX;PWn$#&`-#;Ci*5W5niez{GRRfrO$c63Ij;d zL6LZ0vae8$m_D7J_{A1i= z1Y%>R=;%3k;1UJhM8qEXd|B8Ot_xh$b`^LD=r;Cw%Vd}Z9ejxuvL1kP>HFpxmo>vf z@6*p7w{*FF6?dIxGC@0Q9U0oLeBc8S0^$Sic8`kEi@=U>u(zrD!Y;P6Z5Og#Lf=rZdY`fVWFc@uWKCow zh!q(ZDR!(#2>M1pl#Za&pvpYh5&Tg}CbW%(UbOVEToNwj;^=lB9Lw#(WMGaZ?7knp z$VM|)ov<##mf|rgcd=}yus*!ukoS65 z)`OrYC}1TDs8hrj#R3T_@EQ76xPX(P)f8drLmpxUw#!Tgh!s5)ieu_U@X7I#*OHzd zzy&jfkJDm^Q8D#PVakWZ^m#sWuE6Bpi_T^D9UF~I=ZoSVF>IA+bE#J%03`eeAsM!@uQ{OWahT{3!zDExRL zRyj4Hlr8K#?e>|Bt)&Y0@sy`H*aVu%LvG4eM5_0pYjK}292UBVfh{8A#XUR`TFMjFJDD;=$G)fgSFkZwM0_O|`*m}|i$!cM zJ>mHI^maZStrjk{pMrrT02*n)Yh>bXWLot#QGEuyd?1)24T@u+H%;RTsF?+Bv_(4h zApi!05bsGIPSd!LL}URM^LfBk332%Y9a+Wo2PqgrFY%=TCBhC>RshBNO0-_aPyIH(W1QNk4n3u{2dm+xF za0SsfCnM1lA2BZ}F11_$<^(PJ2)z@1D@OvGLPR995yfQuRr}&*wUX5PRvkA*)fpwP zw&jBCva78?jVxOy8hCs9O}HPfTSb^k#_}1sgD3D&AECEsxA@yVme{B(Jm_8E^jJ60 zJV;2m55Q=}m%fy#X@kE_6g$`?Saven%!nwmkap`b-WJ9FCl+WoycXiqLA|lp@fcth5k7N=>*|NRmKq?g~ z>0YCd0Lo_8ysicGF5t7N4#g_iA`%!^US5!TVS(1v+6n~_o6sO8cqaK3h~Pl$ zPu02CBTMSbn$r*al7yd;E88~X9`f->^C7$XpDX6ASJNIEv9jY0)&Jp}3W|(zvrRQGv`+MJaU~aR(5+aCUDsF+nMm4Y(IM`Vp z8}ETtug1UH(eUCWLzq+D#^zO=b@5 z(i9MZ13N*#W91=YnE|pHCYWRp+Cx(8JEh5$N&UWFrpJu_cH^*(RFOV9r7f9$QIq;_&iQ%g*>%nWbW)45;ML*U2 z_GC`B=Xd$zluMQVRsJ}Spp76Q&u3<_&tRUr;-6~S_7K_K3PiA|F<6Q7P^~yoncEkL>95)g7A;LY zEeFm<8%2LJB+~mMNBU#r2BI~=34x%LK#&qkD2s#4=9W2SiLB0&3t+>eX^03WBASAT zX2ZisgQdoUthvGKbA#o^gXh`sKG4uz%+N*7P*Wp33P0RpJlrNX96>>}j0}bGhI~kH zU(WD@K)4T|2EWLLHwMD{vqt>za4!;kcw}Vw0le839zjM$b08?*fb4FtIuD%x0PZPp z0yLo$6xeLD;!@U2fmB!?dAS@YbdW7rB;w9`2_2?!of+H_%!m(f2zzOy=>hk_Hz9Gp z5ZrO>DC^}8fGayXCRa8l!x!>mAaZzuObXSebidUUsZ6~;y#pjceI`xVY=1GPh zHW{>cglQx~W@I5@_2UW&0G1_dpmoP>v~9*97sUi7WhYU1I-Hv4t(EJW4SYB+UyNeP z;#dgPv$u@5VTrg0x^dg3N8#z<*dpBy39^#9uJ7ZM>ks-^|E$xoUXzkK3e*=o~ z#jm*vjk0PiUB;Tq228efMEtA&f%=nv^*@53{~4KlEc)I5I?Fz){_cM(4_rIt9pqy5 zE|yn23A>1|sTz@j4PO~mtNVOhh^pGs@tEbOXKnnJc&23|`>=oMkh%ECjqokm!{Z^T z=As`-{^hsJvDivIIqL{(g#(PBhZirYXj58oJQhsPe|XW_X#6FF9i9+U{qgC1?dB-{ zV<1SD{?X}(?j)@Ii`zZ*&ITBDw%*FV1g~-m3{q3!A z^IYr{h5nL>8N&OV;rNeD;zsePcg%}neYhHud&fyUj2iIvV8HCpHpuHdUGP6@gNGsl zhxVbL5HTFCupI>J%~7i);$%P32Z%x9ku;b!My4i+ASi@1-_?RbNDNL~^iug}A*9;2 zgMSo4oL%VdpLx*rA9)a5WD8Hl6p(`6e0KZQ38|?;KRO{kVArosNWZ}U9SRv?ze6FH zUVYN`KF{qK%x#fqzf43;9>XGZBhFff=lp7gtWcrc(2{;Nx@u&|uT)67`~e>LpHpG- z&s1pt&s6yAV%+A4QYO~^S1NoQJ=_%y;zgH7iiQ18QsD4`H9$1?SZre@Xj*n_TM{A&6 z@r$J0W&scmcFhMqMg@*ZIl)-!cm0(JMF-+WekQ_IZVCTNgr+|e;qFB0n|+~vG(jQM zb@T7bw_WBWf_SllLfB><68EbOvIP5KaWADJEd*_l=!WA7~S%aKU(-BLxqPnb{_JPbW4&|y8s)Okhc@5XdHdesn@Pn?u(jRXi*Pt%< zrGKWv&-C|j;QVM>^sn^SDoB3}#-(+hKhhsD`$zf%FoUlzZ@3_w!bP>xPs-Hg84Tuq zUd~ez$v00=fq;-TcqXR?{e_dSVec`|_P+7lZILBtGAJAwuTI5|AP=KJ-`aQ=Sk`P0e^iMpk`6 zkDLImq~EHO0g~*CQ_@AXX$Uu&gsp1$2znupcr#zkbB6x!t*;t6LkcOC{8#HskSVUK zyOng`>YkwW5lh|=XJ8x!vW(HOOu-9DNGHpLB!A_AVjE}~Z@DRIb!Y^St zXy&cP$3aDvKL^t1B0&EN!;Z*L62PEh&Es|`O@|EPSt zN<*2Sm2VZT-!JXdMF=_wHO{MApasDa0F)xAw^>%0hO`8(2M|Q1xk$QUjxak9K8EZF zOB<)7*6{G6>K7y3u-BQjAO?`EP7tNk-!TM<((7?Fge_2?A$%tTU-#zbt=g^dN-{=$ zhsOslI)PbV}tTqIV8iMMF>hB#Ey>yEpYtWE;3y&tE z1lsl)8^a^qTgcE@+;Q(252a2O31VYD;c@)0_W)Vk92*ODU{A322nRLRer-e>futG4 z~RV^4s5qZyLeEsA{+1{5c~}ZI9i8oGoVQ9kj8gxp9K*q zAZ<1@n%ls4EfLWrlK0okUcJ>Q!fdB30Bi6a@v|UBN+%HjO9N12s&&xHPvvJ?n{8BI zO(1ypipR=!C#-Z8X#7@w^vrI_zm#81M*Z+mqX_B#j-SeJ4bZzzqgTZUcw{;3PCy+4xB@8k`^tR-|zRxNkf*+1d^4quF=X)=-JYvDSZ~K&US zOn>;dK1FK3B&+{#+&AU^T-x;Uf5v@jnuBRa2J-?3@;nFAvVP#c8xIC=G{P&4hXlCq zPT){Y*3g|mL>O(T;s~P3Sb+QBEtZ69&Sk2Cx*@TcwL<42yzjRa7K{>FXD4}Ri4 z#=yVfz9*yejnEz3(f4zszi{8@2S0G%iYAP&Inr(nuQKNL8V`ZAhL1A1N7*k?d?8*V z^rPIEsMZ*c&;2Lvi(VNKH4Vs~Z4}@>L)#y?FS}$sLY9D~bqR3adXrbZ&5f#;UYRt4 z@%By$PV$ z4_wLsfeYo$NTBa%nm(d;0&p=y&hUAKCY3zv<6@)BkPzO@AWapUC%rV&wZH`~Jwj zKeF$?PWJhG<2K=R@PMWrUc*07YnpN`o_#7EY|L`VVAU6Fd) z;r3)owmBjaKv+@1XE;I&XF=P^=pOQ!HkZ(Q92B1y(GK&>uL7VPY(4WpI~mst*yl)K za;d1PwD`9*@l&qI5CQRH{vdv#0^(N{$^KswzZa4Jk@&?;Fxu~6Z*tJClq(HgF(4*P zpMGU|Nt8^1DN(?yXtJh-f(eq3M_lt+Wcyj#30KqNKA*E4Ovd4*ftxk9OS~wRDZ7tE zL>(DcCzV(~6?4=-aZ>_hi|!Q|&7}GybcnGt`Z>nJ%~|(alFWJ9KTY4(V%w6t*x#Cu zm25K*v(_z<^0($Yc!l`4=97q1E=&@1JA*?W|FPRKQ%+6e{;k`QQ_s3xQM#5Y=yn0i zv_(YXcgdgKjuI^Bc9#99dvu>AO0Xc?MgE=bSZ7WxW7_{6@I8}G+!>X6Qvmq*40ypn zuHdgz@hbQyhps-W%~{!&)z=+1Ac%JCtit7VLA3Lk z!u=cEV_j1@fDOiD^6**TYIDC%#}rF5*Ly+2fQ*E`m{3*x8air@8>J$FI_sZ(@ZgC# z0NbXIzo#rLbkcU(FZj3AE698jKMYUrBXt zV)=I-#3s{k->M zKQjXUL%RDC@jKmdC9=?*;>LvnLApEp_C~KD-EqzY+zD3A&wb48cgy?G`ExH&^}WcBBef`|@8pFFne~ zeY)zG&f6;eA9Wt^w)%(8Bi?yd3&{Ob=Q-W}rSk#>I*)c&p!3o)Y6UtkLd8Ec? zI~u$B-i>|#)Oq-(al@t`I*-;gb?S%CtM938`P%eD=Xu|~yQ;3^m(F7sH!D>A(0O<^ z*oY0)VAuZCc>>ud+zZAwfbr<&UpjB$Vsnf7z4wF$fzJC(_)F(46i8<@IF#2*$Tr9f zxBS+5#H!UEA_^IxwZjDI48kYE51pq~(kxGEGykRY$gP`fL@o$)9;3QEw_2d{w*S<5 zTQflx!!3W~yz=_CA2=_$vM1)lZsm$>o**A27vz~nK?INSwe(OA9_o{#B zJX&wN`bfLEN2emSlgQw}9&{1OodTWr)<}TEd(~?bm8sA7$2}M5ytfUBmU7^8Xd`EV z&I4XhkGwdR^}<;b>=p<*{kP6zmFQ=Qe9rt&I*-*C*4P*6*?+;aKdQ0sht3P?zY^Df zkus1X(0Po3^u~eAtO0?}OFuFYMjQB{^C*Ki1Um00&bt~2XUPry!g*CkhN>RGuluR>uwc&;};H4W`(R-uNao`%UQO z$mmhh$PW6*;*pmhHDA8}_79!M>1Yw?Jjt$RfzIPT{-N{mU6`^CfzERm=se<>#6#J8 z^;{YGCjy-(_mHy&XvY3S=be`w5$HV4zjWS)raqmYIS7POXrC`tTc6O>AIgI z(0TVVU;of~tlaHQIX2nAZhpZJoJV>sz2{N(GxDIVXfb(4c#(9&k zyiF#39VgFSn)J_}ywEgxH(+$~5`U5|KNV~;73w$@erYN)dn&qVDt2@#{(slVXYxnq z{Rww}!rh;6_dow&qCYFSe^zq;cUj5(qx1ggygxeckIws}^Zw|(KRWM^&ikYD{^-0v zI`5Cp`=j&z7c04cbl#s&AOG{|Cv?7Rg#Z^6!+-_TpI^T5N} z1v~HecHV-Ww_xWj*m(v+Bs<;bP+=VLcLKSzRin~z7U8v$N zRB;!oxC>R>g(~hs6?dVE``7T^LKSy&u;R9y`we%r_dPn=kn^A^ntGx!e%sU3XK@A> zM!FiFrae!j`C^o|=cc!&ESPJg4wrV_AQuw3ufPc*6dpdW-J6V z7J?c7b-|1vznWipTY--R-$xwOzRU&{V7mbQ{yz@=`W-A?Z-k$ev;RjzgBR_E4%3i} z0TUX2UzroL;s2h{urC6eC;v!jNDULe(9l~w?xu?m|1E&a^Fh-SC~}c3$GEuwE+uX` zDsnD>OTPmKaO)1oYHj^n0C!Q;YdK6KmieG0iaB+nyhE~>$c3r`F2{}t5$izVh9 zUHQv%C0yX%TnU%Xd_6#z;u3FDLOKjxey24MNvqeVti79HU@f`6F=h9BTH|xWTw23C zIMH^Hu7;-Jg>m^{7?+kYV3-7PNXYv$jC-j*^OOg%K?}>E5yWib!BeCg zU*M0K_r3lKY-lFncz?EWAEk}X2R82h3T&{RpcF3uBe1~~vM=LdVR(8%eHgvlxmp4PHrBHp{s$Y!_ zsraga9ein{ar}$@Mqcz>Ir2J@WnSoKc(U0ms8yop1&SaA~hzvHwvGHa5gEB-l<4>P2Vmd}Jf9 zDXFMe0djmkvSDx307f>h{1w?aS^q1t5xb$W@Nr4DYu!$ALzHtvL}}yiD_(ki!e5OI zK}oqndA|ZA{m;fm%~)k!bLCuPgI1sSXJg|X*w}beA{h_a(v@u%7jpmmGofOO5NvD+ zD+jwOe>XNr&Cg3oQe%HMHU!n{cb1px@X1EC;vdh!Dz4b5A?v6B;U&oOY{9tv+1L=Z z{JpX9^~dw8V|5WfTH(h8r$Pl9WsR6;l~JDJw%Uv~t$S@BN*n)-Y-CGj{u$X|J{Oll ze&Svl>AnQ;FU>XzDBX?Q@NJ%5jT&VyRmU6cbZdV%HfT-j{?^#IJyvJ=N8PE=1~pa# zh1;e*-bi6IfQ=2@M(7e|%d&^JHCb=mGT(rW4P54J2PJ3>&d3jJY*5;LyxRTC+WnS8 zL%bmDzZx5ytRwfxa`*nNu_1Vyey`)6B_-Xfm#x@SvAXfJvE^^-A>qhKvRx_lx=!pune?@ZpfX!oQE>%B6 zFvu06*;MGDQ!yC~=8{_6vj8!~9aweugWIhSXkh49F?YSP!g6E29CM&%CzP#Rr9-OP zxdIji26LIizk|6v=Bcxiaty4-zLC_kSUdR<&uk!0IGAcP;+pm9QTbvbZ}7>rQP*9r zPbU`3QLqK#(H!f`;jlMv6oT&$?wHbeX zYurn3q-&Rc`ULm|wDa0nj<90DX5z!Gv61o#Puq!>71%87$7_1yPYBqsGarBSd=&q0 z%s!P#$xV~e$0rwEpOj6Ul&_dn?44BpIZ09Zq_*jk#&MTVn%6&RCw^L1@kzJ$(~6&; zs4AcJH+^1x{PWuDpA8Z}udn!Q)ce`^=VzMAld1@ z@@4O)FZ+*wvAX`{P~sQsiZ4fdzu5fz!cdvE+cbUh__Y1?X@|sVr;2HF6%wHO6%HW+ zKLOne;4}~nc?ke0F#tm;T5vghUzF6cqxIaRsz8$Z_IQizxt%2b1=~!ZddW?ys*OUg_c{qF%hk4iWJ|x(W&}BTNOh3Lq>24EXDS&q33@)n7M0;7oiIH`B< z$;Q8QU=Fa*&sd0$F(E~=fD;?`$slYc1b&JRwiO|DiR;3b6sD$(2gm5bFb?Hs}o1)F31$t{viRPMhWA-31QAam4tXFksyxBWYFEbTqaRNcu|3S?rq z_mHOq2)p^f?|Vhq^``z`fnNf(Isw3{S7FW*un7QKospHQkR%3czkOXF=4!vxlxr;? zyb=Kap7goeukc+q`JGfeWQR?&27Q>?3{{|3UfT8HdCm8ZA^?{*s2L8) zr|D<(jO0^NY2nCBvyyt0F@f?&b3X8qFg|+iqoy8YplAHYS?QlyV~~|1jiiw&@rXsz$10mK z+-^yAx~OplRvaRY;7rWH$FRw#S(7{Z>Lw}YBo|kF%sTQ3(|bz;`rC7>tACMzuv9*8sGah;pbP5%D3cA-|ip(mU{hL;Xu7os2zDKMmf~*BNzE zhnk0W#kniHDAnH$XRc^aO7f!1b|Y7iydfH#7Ps1`bQ(SAn6fmU{iCZ1VM*FQ`%aR< zNJ|SWd#2c4sWK_}WD;-Ne`SavC#ShKWs;BgQ4~sSX*aM|8|G-XUYuKmv$ybVM!lh~ihH&DFH{#XlP`9W39A*y3|rGhp&GWtRD&6Y?u| zf91Z1gj^)4N5XGxEzA5$Fj4gUP*oeaoK0>EY4ld}4}!GcnYbg9Zi`e1tdch}{*o@$ z&r@@+@u|!Dq8+kGaTNtc`BCOjr+ic?)L=t)o-Nj1T0OU0_CK?SXpsFRn?QJKpkxtf zp=!nUZn=6*OOIYf6mby-?p;$BM*Y1oUO>2S-j^2>MQd+}TLEuH))z+p#wF*&E@$?_ zNKy^34`I*mDC|^4yNeu^I0P?^X>ZcnAVBMO65g%eS8dUfu{wNdZ_A@&fAa9*(NDTSyw&3a4mmJVVfEb#J$-U>mai_HJ+9wOjKJmj}0 zpU}a?I|TiUctX=HkE+0TYqL<-gvcN+Y&!!#z#>GnJ613-)`5g>0pSV55NmHa_VQ}z+Q3W>!FqG=3D*=Mj**Tp=D@4DxX){yku%s#T5!UD#U5hy zWo9We%q@udgbTm~1oh8iycQB4b&5S(@9>LyO1{0>Jx@Jl9K-)bJx%7R=bqb)_xt_a zm>~3A=ji_CzU5cJZHOqe(~nqf;Tvj2^x~76%e(L4;2>X1SJ0#6CDy!+2R%wqheQT*>|%d=l#-1O z?y^oP;G50{c*ft*yb$9cEPSWAV(cR!P9hv23Ka@n6{O~U$;F^A$vbzVF-5Kr z{g94d5uDH~#P#`+oM`x0hf^3!2m{txWFAmtl1By<*2Dp9Cd?@Rsj@0GjuKsSI8mYx z-5`vVbRv|og3;ONE@AHLY64X=uh2EGz(m2iY{ zC3BC=$&v;0vgDJ;MaN6foRF-NU$P`Or)n*+g@j)JOP2JHTlxWK$su9IYzUcN(y^mN zN>C=nDJdN-S<+fi+?P~|{hKT)Di>iZ8uTkZ|6P_8REWP<%*m3VH90R!z6mMmDdEk@ zlKMpjuS)uV$&#GM{MNiVSyI4P{=uh=@n6l$lA4~CTFua9rBL1G%3rc1-l(bv)Ox$h zKv`1iFIjRjea(^bqpoH8U1e+I|CA*Kc^jO`8{;6fF7T(XAUQ8fZg;Ly7S$a8B})P| zdv=oVg0du|Alr3Lmb9OjC2iv&jQBZO@|P?5>}suePL?#wYceT%s{lDXFH17I>OooZ z;$Qd@{#%y3)7g--qa>SLw==t*jcd4d^iNq*R2TD?EXgb>EGg?!fF%DZOICzd@}1{p zNnzcSKV`}84bby$CAfIVrqXO$=gow;XC0-_IzU;HRVnoRQeXXq z`As}*SIunv^KYfkXS?dt=dHo%xS8YuKOwVRC;U{01qfU+d1#o|VUCgqK*<(w>u zxEW!m1ig(jx(3RUyf>ao?bnaBUt13K_kslfB};O%4%{P4{kts5e4D(nBifRZtkiLT zUY1PG>_{#{a+T&}$=qX|pf#Bb%98X>?lDx(ye!GX|0zoXoo?K&ra$SWK&;d)c!tdV z3tv)yuKWpeKvz7+M^k%X-qyDm^V5m{Z?mO|bi5;(EM z&u-yyO79LyYun{TzL^D3A9O__{5`Y(J5TB9JoJUWjrdlg|<&isil1y#TBrSmU*$;{$( zCV}*_)AIv{>n%p|Qrypea7zHLi|6qr>s@wsKOS^=#Z4Nbp=BVx6!6bY3_AZ4UlO+d z#+RwrM)DbR_%f|$1jLs&bmsA;U@&W9FoE{U#CEiRfUR0KhcC}u8%-m8SOnrr+E^Nh zFM)Y{$r(#qfqm2>)pmA-OS|6!;!D!_8yo#sAig|5{`2>hBhqK1sE6?G}o@?XNXQjXH8vEYzQNv_pB4NbBUGJ_JtJJw=q{6w(d+{$N83dljU0YQaTc{Z(T-n)zG+$&R`!;d0^ZvsRu2UAZ z-5~4WD7FU;X{L2c((dP=wIa=uQ|~4RRW|B#>m_%tC>gK|(anj6hOCTsuiT~)0C%ca z@mb_#LAkE+Z7U^o#iOB=>s-~k`w>>#A4W!&Ck-o{$w+#5|Bl+>VU_cxkowfrX$XoE zVpfUP7IS1x>r~fyK8%FO9o<{I)Tx~X#jF*Gs))t{y02o~Kn{L&uV5c;{kZc}XMMp$ ze}kr(kUEy%so6JP&?9EU3pSy=VFXjsh74j?YDp$h6dVUHwa_quOV18sw@6x0OsXqp zra>VSKBMYaj>0BU71GmPx54x6B^vPQEFMhW!67MI#yZrsR&GmuA6g@=-43hkW@;Cb z;L-63xtPu{Le#E)N!~J_#X3h4qx0WMRL#Djz-|uq3t$ArbEA)GFw$=J$)tnU-0GZWvjn(&oBMAeI*_+V1r&1LPJ^eqGd8TD>Vk1Fl#uQaWCnc9!jerwsVz#=5qPzf2?F3 zG64v=Lb#T6lHa8rw&YtZKP8qe{BSyB{}3CtSWUGLvTtxi?GXcdw@F= zu{;z{^s`V>%tZxaX(u7(+Ga~SG%)))K2rYmrr*pfS>#db;G)^Xz^2UJFP4p;p3Ls+nKYYHhNlwcla^A4NE}ogi)N8+LC+ zpn>mQb0Re0u=8f+S)g+L-n-AQUfis(wg$Oz@0H+#5v!D{XijDkw40Zl)iZi&NW+ib zM7I8k3~?dS=5#jU^sKZhZH)uDZA*b19E#d@U^|Y`x?d-z54SONnY@Vi%tsNr_{k8` zu*AD9qUW7F!*Z$N@SYdX&Z^5@q_Q^S=r`QiQc6uU@#$mxFjkJ76ZuR1{WpE%6Ltal zoMyjH;*b?|3t*CWV`C$RV(YuwAU|;9{R|U|H}>jLb=gZbTKZ<+-=1r~cz^T|(r{$t z*0K6mavm1IW*i&+tkEhrIdbcYE`F%z`RMpR%xX+f^80zjc>=4rH{>_U5SxkT0Nt#~ zZkg{er4B)W$HB2svbF|k;3BdiBYv-2S5@;#^IEahc;68p3J>v3VHtUB3!J;{JknIV z5-F|cV}kL}RyQ!i_fVf|q!I8;+G<6VH`J#&8QSju@sO?asj@cTwxvoY`a$F*Ulvb! z>5{r7V><@-Ru!lW&pxJ+=r9dl6Vl88DxFUAd*j7`lDG4geEac1b+Mg*y`@SEZTob~ zx>#8;0M($nIPG&&hjxgN8z%TgU1Qbo@U@RFPvuSfmhF??1P8OYUaPFS z4Hvl~K5#u%IE4qGm}p`~T=%9M{3qgGW(eCw2QkhaFoUB@jp%gY7KMn>~P7l-68 zR#}Wf32wZ(G+-)!AgXAS$UDr<$b=+{38WGC()?vP@8kdv`dI-&u@rLRb4ya+7!7qc zxKh52kuTU$c57eziA5#^8tfbSbM}raGIj1g4lnq=H)H`xWrNh0UZ!7^N>%Z}RqGYA zVm6y2g@{)!C7lg8=5_jJC`=B}`q7H7!Fs6-gnpMw2;Y0f;l!fLoBUA8@0mbgxtplSYsJXzwJWB}1wzO;k1v{*gqulB+Tn|@u(|K}Ym`wKi6!}DoGKVi|9-~JR z*BjU{;K>Yg!_sj4mEt!lB?a!E0MAh&VS;_?CDkHCFLbkTZvec2i)9GWgKQX7 z4>u(wgamr@2=SrZt9pgZQ8uBBL6ULsMumIJr%RdhyxrNCuF(zLNe1fa2qA5khy>n@ zqV4KYjU>E1>iAX*`vDHVje~!~I6g)~L2U`#2UiF76Ot&XnCO6ei*eOLT+IwAkP01L z?7!9)F5bz8`yE7H79t&4u+>7O_Y3f?7B$S?J5C{fWA9C(p%c<^oI?S^(@vvI$4|hC zX*wDTak?CXZzN&9&~|wS*@^*z0?k`vEy=PlXPlc5tggt8|o`h;*;kpIx&+>`w_1F`2xO(6g1b|F4 zu;sv!DHg8tm2;_xkW=U~3lOqN*!`>!q)FJ+P{@_Qu+_HejshffoA}J&C#W6?isu3K zuTV1xSH1dMsf%t&dth>CgmXho?AP!g0mN5yOdSP2X%P15P*_F%?Z6=kO*&LY0AOi$ z1nzB!02OU;(e8_vj@7}!Q^W)k?Wfz3f-O;2RsLneFT6cMdLaY}aTUtY4Q%G6wqpSi5eR+iM99$?3OQl6$Y6x+QjjpsXf$k^O zzxRW15G`DMhUk0~2k*c1;*VUO)&272?GE=%;{9Okl8_vNxp<})FOEZ zJnjn#ua{nZL!0B0Q2++sn%2Di(E|)RIO35mc-$_Zh@d5X^vHzkLEqiSOA4YwKAh_) zK>Jgzrs+`RBrt78RO2w&+la|5p9ky^G!M8xqTmsH=em4Q+ZD+W8Q`^byu>6Xoegsx ziJAql>%f;20N=OZKT#kycjG>@v5%U3Z4y+h1ocg0xeDf%|)vV9;@$=)MKK7Bk`ix5C{cZ z#S0!-N~nw_p#e#_!C%n1Fiyo?WaQ%b zum;BNJgjRKvk=V(q)3JLcN9ypOKYy8jS7pGgy%LdOL|fgiBW}=ip}sZdH9Z!vJRc) zJtg=q%JLQ##%+{@=Aj1}#7S=XSZDbhqa;;)(jlBLB;nYQ2aij?ZGhCDE|vt)&qhnt zU18D8C;X%m30wGmE)UnmBb4&eglHW$8BKzzGx@Tfd|fX12~{5BS2mGm;!5Rs72iTU z>kmTY7$^xXo~j9t$OX#6)09dSP&;Laf{MnFN~3s)3>V@ips!GrG-RTrC{^XH*~R3N z{bY!;ph|lTvRAi8jsgRz&1PLmzq=5e2z<_$pF0WcG?F1xp!dyumM)_$a6|)N5ogtZ@>+`FRHrIMKORk6Lu=&mLe4GF+ySGUx90EdYj1VG2RhFbz zZpf&pFR9SN)$|*+3>hJz04K3AthpB;r-9fKac@oEVddeAHK@bc$YWiOl6U!UkUQl-46 zFkd^mymsz>ebx&i!-OoRL+wQ9J6!a-<`+`gP|^Vu@;r)_i3+Bpf|(Rg9@2%`9-P_U z-uDLC-yZCucSiTJ|L&n^D3!=&RXKw=qZ#no5-|n|p>8O}{$e4LL`6#o)jf!;R zB0X7e-RR&oH`0~a=}JWwKI<%ehK#pF2GcN}6xfw+@{xNmPY%jMfN&Kcru8Hais#Hq zSR4=esvEX0r@QM}_uL(~p2SX4&sey`5w65R3Sy+YBQx{ugk@*!vz|xG5ywRmAIc<- zbKzgg;6MK87JEtj@Iv0>^}=n02dT&#BJ@29ax0ZzH%_k5R`|LDBF(Jce-NSm0@0}o z!H^&Xo}!9zVNoBTx~o6054gXhPm12>R0=sW)=%)_Q<}lIVer5jW+;Fyg~B_$)JKxK z{n+koUhJG7a`^-t($C216F25I=V^04deHzuwqf8*hZ3AD0cXeIb0g)^L-mAf4>oV;Qjs&`p=#5Ae7M zt;Haz?FVpdfouKJhs^WamV`$fPSsA22N7jPM(mCi`Sof|OEYG38Z_h0?1EHp%6_U# zU?INMaeNV`LrH--s&&bsYagX6?$LLuQ-~v(M@T-4_e2J?Cyc*3MXZ2@mr)Vjb8@ioW;q`m#r9!n5=-$3rFZae( z=e64%S)OMgr1+7HhvZk}neHF0D%!*tg-LEBL261wrr~f&3(~*ej2{cyi_%cT371&~ zW{85(MxovTi=>a3Ov;_6O^#|N%puD2Hc+fiMssY4>Uo+sLSFL8*rz6HnCj=|6EP+d zGSVM1KDQXnj6GenZXZNKE9Inqqjvlc2IATBC$_aOw!EZZl$>Mh;A*TJZ9CfFok@N5#v|Eth>~;V$>XQHs<7O; z72n5l-w;aBg&#mzS@M_z{mA>QTq&yFtcR#srM@YkW`BH=X|$a4u1I+uX?>WCRekg4 z$rH3}j@4SlpHCNUJCp=f+N4P-+wYOVlaMw&K6+tB22N#F?nje&khLh6nxB)V(9#S# zjM~L<8V%X5e3k=`-=m5)^yPetI#}`j*n41&0NT+q2ruN1AKOrGip$}Y!;K|yGzZS2 z{Z@gC&Y!b);F?NI9+bg3ke_sqH5I08eF$k(O1Co* z9JiWH^H;PE58NU&!3{C;RM*y^7($c9xx96)%d-jA>{vMg0~VwKpghw3v zOHvx3W>jC}ECy6ckA)ww-%{w+bl#}mTi$PI%L5<@Z}-wid4z^C6+#H^7Aei`LnMlG zzf3yUe8Zq#m`%HH#ISn}6^F36r9nfd%Lc6Ch2OIF@s+QNk)RlfNU)bY7ys27YA@bc zqz-UMc8b2rLi#o%gMD{Sur@2msRi5SRw<8L1Z>JL3UT=cQ+iQfXT+Nf9y(&V23_5w2Pi(oUInzdZ=BTNG zO}E%;{4LvZuluUSoe_b{ONYZeHB!jOGU{;wtznnoRdL-c^DQMsmX4u0k~s2!G4{sF zrZO5{Lppu09S5b%2*sUn=x=lgKsc&tAfIq*jebA^nC|RyU#*7n;3 zg3Z%#Q#Dm)?fU%en~$ac^93aV(|n0(BWWd9 zJ*8ZvX>72a;Nsw``1rjzeR=$O!_2BMy6k44$)px zLoR!&%hLVpq=LwuE;cE7J@=7@14%av+frl`WVS9@UB_P6?wj(eOn0MCpY_F~YxAY= z)tF2jhHJkpK$+F|oeiP;n96$R@|CtDg5m;J>1O)7Gu}4I9%1V?LleZ5tw&FV2M=4h z<-K~a)h*oQfLozkT)zXHiEWecgug>YTTWW}dWW8|u&NPjn;Q=tA(`}(&YszKgj`

{#jWxM-t0RhnboUmBw)kCM0y!G>A{&G008|&(zyi5^1r2-iR++r? z80RsXS)at-vCU;-L7kK?_JpUvSD9|L;CODB#?kgco#sPpDc?HRNhP^SFq$xXz0#bPJ}#=nf4X2Z7J7hirnb5g>oo&S1|_>$({oNQ%xiHP@Eb|$4K zCLASP(~wY9z!RBI)Yk#aWA=o$>wC&dH&nfRJTY@G1Hf&OHBua*yK5?M0L;0y9+qCy zxhmbt9Mr>AKOp#&6UH@XUlnbNx=XlVZZ@)irPppddVq@1dsO?lmsG4pP;E}q`_SEb z>MzBvQ}+)>%YNzXJ#c-~fbx} zUrjY9P6%y5UODGl3NAnd5>DpVq9ZT&P`!I7C?6h*qeVi{uB@@TG!pGOmL|0l)GedW zJ^rxs5^GMkRG-%^OXhXUQ)zY)LVRlgK`7%|&N38=kS%)HPZZAzE$_EXzuP?2_93E3 zNO;L0DaiVxCcPD9eb$7-G~Blx`+&U6MY!|U`7vO641DK)T>%pxi#P#wupb3sr6^$i zVq!eU_$7-_53uZ`F25(?K}ecJL2q+ETqDHx3$`DKA&DT3OS_Z81a-_kv zdH%;wz&6rP9eGcPjt)#^<5L6dW6X)!k;HVynn9Ka{2-xG5DZeq2p%e85vwQH>N5of z;d;|${Yzzm8-+okhooo%IGuKVO3Ulq-yBS%c?a{}tjlh|V@QA>r(*BIPIV60jMDr- zvR6yTCXp}^Jg=UUS9_-BWK4MwR%(ZVjLr}UX!$a089e2Mb5w<4|wHB3_2 z{%v7aHzHSq^N-9U1yLa|2>i8R7x^{*!nSC~CB!!7vFtU(WX76)l2hnIyS_rggg<@` zcXFbyKD<5S9(k=u(vXHkFgMY)BKN*swvLAD1YaeejYoTf>Lv3$e(?>$I2}Fm4j1JS z8~p`j;J79>A&Y{lq(&t*M5i3StM7Uj!E@c)e)oO>)Ikl~A*T9$Vn=na^BdwFb2Y^u zo!2ej2=7&FC4jo+a!|MA&gqtWVu0ywkzZ!x1!zzl27f=pUOx<(8?WRfL~r{oTAri& zRmR$FKE#@0p>x@|&qdzTu}SiGlgiy;%Cx)RJtY5l8($_1cmRZ;uNqPQJ7Bb!Vm!~6lxYmeIy%A936By`pvA&#=Kpk?{qkkn|8mHa@Q zUwEy=5=ET7Nb1psaz&h39wtl#FW{d2+yLZsCnTZy@Ee*4PkG*GL2f}(e!htU8bH(5=4tQATk|+YYX_!*dW~=BmNcDbTS9tB zA?V8!3%Pee9F0+fH1id)0NN5rKHo`}6QZ&7g11hIsBCnhAaCIGoL@=WgL=t~1^vo{ zu|Tknf+V*%Lr1A?5(pF(hM$o_LL6lYS(&k2%q@`mWmbY> zC9k-pq*#hnCIysSUsIwk)`P~ebL6j-L_RJ}7GPh}@LkmMfgR;1FO`1)%}Nq%5gFA5 zQcDn4ZkRVKnH95V2$4WhlMbZqdqry;M2@0F79QDqrc6N(8cl*^%PS&vNqTcjlzi!< z{6#zY4fArPVi#Yj8A>UIs_9mab&}9jo)nd*t;mChR$ix

UEIBM6qK5LBE~JjvUW zU8)mbx%@{J$SYk)W_r4ktKuMQx)gNc6xi6g8OlvRs+6fU`+k{~oT@EH$+Y!Q949|J zJ0CPFt;eKs6zG9?$bQ`#3LT=yg9mefBREOq{WC5lh1p4kr$F_R3f=#sP7G?54mhX_ z4!)6Vap4EqkfPRh|e zncA>}59m;!>cU24T$7>^#8uFch-*~nOY-Ldts6k{R0jH}uu>qx>FGYlqWbve#`tD3 zNNs;KE0sct9F!|n;!$W5HoP){2Pn`X=B%w7yQVdj{K?>P7*-WI6*q`EsnZ1ta!n7-1B|9&j~IKQ_XxI#nvY~ zTge++1f zmXEj^WiI5GR_O^mRXs*VQxHnaO|R+Jtiwb1?W|X$)l=xLlJ^=YlzNb3P8&g&0MCv# zL~2rA+giRZ+y|X*j@+vR_1kH5cB2u6_u5(M&ADT5m}Rdnc|op#P9;;~o&cRdgKT|9 zR%gQA@{u4(3}m8$IVgV)%9D-?+W0o&W;;y(?d@Z4J$T4BdT--4zP(DCGb?Y>uan{z6K&~o8H(j2u+WFxndU_ZvX$k|XiVSVck zh++$%LFqFn?CPbyTqq>#X>E9?W7_VhPTmMR!Sqy?1<+!q^`*K6?jYPd@MU*M7r z)3B=_yeF}^5)QkKX%9!9qV~Jc5xTcwEs8MLGkw3W!nx3B;rtk-=>6OnC8PhwqdBdz zF@6x#Dv|6Ir-UTu1iltRV*#660e(R)DV3D5vd%NZ>KzX9CRwFf?rFN?oRKQ z>CN4PN7xMKQ=mIckdfuXpipTD46h6a;()>UUHY9F()VpfxJ(qMN0KOf|G;MWL>AZ7 z6_sr>oW|y7CP+$w3zas*In*fg$hj{}{IdtHYE2a8^XPE~!JB;ED=%@rUD> ze=Ss|{GVH>{J#e)BglValmPgFhNVE}b}fIR|Np*gnK$}|d!i}9vLt`Jv+%;`U+HX> zU8RMS@9OX99B(Nt`ustVvLkUNB>z_O9_`%z9K57Sd0jXcW89~ns(UoT%anvwh3X*9EN@X=^iZf)b} z6COfmj9b0vjB{$a^5e0b=Cz|^PYX4%M#^8`MVzL3NW>hx^>rZ9&8A3V(N4;hcT#3H-b3kW6NRXZf|hS6iV@r8 z%{AW*CODZ0hS?&gbd#+go!s{zWGz>RZ;>+B2=n~ZtaTkuqG>#@CO=zs+(>HYYHzQ} zjx%9i(>146#j^t;o3?y?pBN^d9SI}WerMG4w^FDZ43rpDC3-u+lr&L@_0r%!Hx8x}uY~2gtR%b>+gHAjVk(TJ`jws8tkBLe z6=p;HdHY~Lc$Cd6nn}2|ipoDqd7G8b^RZ&XE>-;1t((`nd*dz4>?rNDif^rbq_~(W zyctOsLSS2&164~XeDp5aev;Qvl}hDo;C7CWG@pggi6Y~UNUO^haV2ya*`fQu3y|CSm!rOE&UL37p*KPOVBR67J&Fx4c34dAjyBwEL@Noy&(uiDxqglanvVKD1Wye5Ka z%+k=|4FA=fR{WLwClsdI1J>m8am?J!3YQ0<GG`vwm8a~9r z8}JKJ`{LhUOf&3s+@;+vh1oCWe%Nq_xm#7YKQZ=@nWi7l($mQsgDTS^$CFUI6f03; zY|Qd=hcit9i1Y>?A2dt-Z(W(fS=FCbrR+iNb+?mpGpb%Q< zFV&#N(Xx&sareqp8V&!59*EiRx0j!oQeUkdwH&r@-a?)+3fR3t{P& znNA0;dcU>-E@b+PGtZnJ?Z7l!<>z{h4_*#A(lN2Nfak(u2A=V)gGQzy*HjUB%pf^k zS~9K4IWLq1TdxSgSPePSJ*=&*3C-{`OO{=BW5CyF^Gk~RA6?ud5ul)`n(1g+Nk9F~ zdpksMe3`&UDxSGphXz5@nWp5iB42gNIk@2ciz^Q!B`DgE@=xOqeplDOSoLz|`p?FX z*FxxU$c5P|I>pr6n+Ka3++K!VabQY0LqeMaAySo>pFF_m#f`dE!Nk-+e~KTpmxn^x z$l34n)TNyCuCeFA6r*&lF`d#FC_NH_BfUSHM8mFc(GR>q@l(_8L+nGHzY@4}z^l&wY>Opj! ze!VOHVst{RxPGfXjk{Ea65qPPLR=X_ht;n9W70CLG+Tp?Qs#Ym*i*Y+eyup{VM|(- zQVk_=lbK40M?|^80I;zs&o!*)`@4x6QJs;Ep5tzrB&EXVKii-5VLjrH{S?#i)zM3r zFg=^h;g!{%p&$Mid+*uRJ+oGZx1 z_VTEv>dSr@d55)+o2^E)bylpBIs^NT>AgZG@=3S~GU@}8u^4j=Tmk&D>*k^cv7E!m zu7!OktGx2jDj7kxzJpw$-qbaY7*t$k_+m{79^2As!=?-_gOjv zVHSgQp({BsFf>wZ@JHewGHQlL`~@)9n6W=&iM3?pA_tNLif4E$jYOS{JnNtuy*wO` z1FaWu+FTCAn-NM=Cs)p`e?WzE(V;B zr&tkJ>4cwgO4ha&*+MXdtvKeT!e*gT7s+JgCuAU1pfKx<%_`8tzR9Je-dar7xn5Rc zLLBGf-CHs`H!$W}*!|_P`&+UXRlw=N~s?3d&Gu7xY)13Nnfn6?Hp_a z4Rb3iK{Ym2u^%aSwgRflw%@9f<(8EG%zs&_4349em6OffkO4g8c@i{^St_Oi8Khrt zpGwrO%=`@C7pXW#TIFI4VS`PW<0Jxh{D%!(jG7d_B>lk`CO(xH1JAA#z*d(`s$kmK zp!Kd?=^MvDC6bZyY{YpMTn}6#rsCWuYaYivAV0w^P;qIgxL!IYM433n#!6p~__E5{ z|2lDnifv`00sj&^9X4dON`hNg_pJmi0W)SJ7^?_=G)%(^wv!Fr3GO44PHrPV$O=wc zoJ#B#0|o(nBNsnPCXSQws`rZ*)0%(oB9@TwnT$FeGq%rtge%_wegtuJc2M(%r6$!cD zp60dyua9Rt!+O41|&AqMs}4ND)waj7{yPjY(6*kN|VI4`31J7y~T z@s?PzQV^kG6+QyMzngVt}aty;fFWB5|}5 zZ)_`?jO<}{i&wR+u<@Q&N(b3^t|;+7SrhJv@z>9uL^4>j*CkgDcqN!~ao z&2qr?ZU5c)nwm%VjOe;_1;PfNvIm^a(0!{I!B_nm!~H&HNZ-%x{`&@M4SgMdcNH(i z;5caSs+bg2;$t#?*{V2g6!o2leas7yYaSS)p-ZjsR6C{2-%r9kyQ(9)PV63vG4DuC zf%Q=m+%OE_wRjjs#wgcco z<FR+af2hY$I5Rdr)1vkN`n@Am{GZ&hhu zXy{uU)GHd)?$cB8+~)|eXuLFd6*~0L@7d4LVQA>1v=o@CDWBNhM36X6>|Dja{Eb)5 z^&aOyGv7fR7SY}DovT)u2yhpgjdA>45ZTr*vHVoZ0x3*+cK_6~(R4^G=azNMp!J?! zftg2p9|U z%x0g(jg~`S39_E;lmU)&v3|6xiB))eI%XYsk{N;F(_w9_v6f%B8Y{dQX*}SnmZjhInK3E9z6s>aHekDQi55bdbr_qJ>nzYn>;A`DY!fA zNgSll*eOEA7DBtTixye`e-7g>G)D zjAdZv+!D5IKiEF-0S55l*FY~r1{GZ$`Sy2J7qlEYdpVpe&+{t<>OCa*D{ZZJinw2?Y?QSWh z-dwCaq9ekE2d{=+(yz`Zd;*X7EP0M&nbZEcpEpN86h|)R)ZXR5yRwwHSaXw?M-fZRm=ZemaVW3fVJ$gUHf`T@uFCWNXHh-uj z$ex#Cw_cT4ZYRuNJq*e8-pITAPuKF7!^$6rueGw95o(*xYU?|BkPsevAM+dX{Z~1) zDSH4SLk2UV8$l z^Xr-JuiSkAxIr8OqHs3!1OKc90R2dS&V7N9xjJOh`Vlqg;#-}a6zJE(&?pzJ9rV9D zXwaVz|L$b{-T3ksa5ALD3+*&yppvcR4Wp1^5$+3CvqlLbYUj)8@^I#{d}Vbs>C~KQ z$_|S>#h)iW98cSG;c=9N^}Ko3L9dyfOzV%9d53QB;gU8B+_c@dWshdrES@U0$kmUQ zJYD%5r@1gUYi;_x;7CH_^;bi&M46h4y~_Kt8>}W9yv7r5s02QnZi!!->CM*sQqX=C z`yo>|_NjE&)%EF?{prun_r$*^C+**5Ij4K~%fuV&ffqY>5=wRWJ0#DpxsR4u6Ssvb8P_ozL`(K6XdeOK*@o6FKNNKa#t@Wqat*cO~n_ z^KZ8sw`Fv_*&vnv`a^lQ64e_z8NnYCT3>!+;`oej4XVixmloF+N$Fxlcc}||s1T$g zn+hW;t{xT;b7dPKvxPcg=q}#s^JB z*v##wN$Qz}jop+=e8!|`+d*RC&_;T6%A=f6J5^qQU+IE?(` zG-Pr@JG}cv>W-@;u)Ui8Pn6K|%c^&=e+S{<)LqW5#Wy{yz-!WkZ zeMrBQr!(DS?R`z&n;bFgi{imjn4x39I$oz(t{#{`!tItfrO23U?di!zhZLDL- z`p2^Tcbi`hcijB5;Ug3Fx8|cGATV(M?>5qPm=O)imvW^dBu|7BqsX3;S_TtGxe;Qa z7=eQ*9b6zc5}2Z)U>gQPX%t|)k^HoIvmvgO8y(@|gp=Del-y?#qiICL2bAxZUT22s z!V3pOwT!j|p2rC)I17zmGuoMF9)DuaLAbq~v_HqL8l2lQli;+gf z*|?U0h#jNJMozAZ8$V2sLyxAUFFEcwsHAQlnBtWU|6rk~J>(ocdhdYzMdkPY$88hL zQXl$TsazZsvK#X=sOu@&6QC`1{;6MjN2rC`MLycx+45*cwW`~`{XxUl$}cj_oZR;3 zYg>?vh3~!WDLqytG2|I|_1x>}V$Db3_jw3FL{>fmZ6`S3|-Az zGcP`rAO9@$lXd2AqvFGV(N+eS1DWtW^*P4D$|JJ@FbG;pjgU%<=#sP5U(w6XfKqh21T+s=+Pjx{`t z_VT=_dv0oMtg)%r%R8X$+|2q|Q-^>zJzDqtJJIpx{-fT0scq-yHO5<>MSJ^~=wA3_ zJKj3p>mAt8c3~xOyzQ-kPf)L}!?(2Y_K!z>uD@z?SZ^GE^d;IS^n*F1N1?UU`JsMPOf`c@sM{H}SAv7mCi81u39ePffGZS56ee{@v?M{Si;OGtE z8+TOCStxy?XP2>W!s&Kr(WZ%BwHV)97xi3hCC4ZFH2Zv$0@__eU^XY#LMOo|Nn2Cc%RSi`!`O!UlBb6;>7m# zPyfM*_4CJ7KQXiuqOFhK5UrLFEDV*>Cv3_<7X=4N!S_xc|%2_h$v`xHYam)?)4XL8;qo zqpB(+YcF=_;(xr9HnaHgO8DIEA7i*HBR|FkLh$Po`~-{j$sbv_*Qb8J8*Y4zaOHJQ z%QDDaZ)D@B*Z7Eklm|Ei2`Uf5Hst2n7&&h(fhbKv(7Pnvy#}*F=K2O7?jG?De=ECs z=$ZhU@p=9A+I5PdzSp04oYk2l+v%@1_; zd~LX--Ya5HG5GbJYoc~zt?tC%-{0!@e-RL;1r->}2E&E)rTpt52$Iw-UT3Yx-N&B7 z+UIN0a?x`qP5(Uj*x4%SQU1VK-d{^j#&sON5MF?z@t_-`0~|zJ0nUL+-f$j&gwSAO z#a&s@gA37w>s%}*2fC4lL>#0W1j@QbV$KZ2P}v3q4;D;O#|ciBCfhGxm8%8GqAA^3 zn4e7P*)HmYIUpXqza(Sg2$&AzwkHbqizIelV7HP{Q3NZB88CTbawNX9*w7@r)g2^3;|;4X5a z_C}WULc!R9Bcg`as-!>B?Y8fZ+OCl-AU*MKL};`KlcdiIwXkCj4j2&Ki;HBqK z@T5R;w{SK&f|SBBlUv<~Hs$RQia-xL?wmyy&Xw$qCLebds>|q*cB91Fn>!oMW=%vv zDUtCO+f@sRnd0xZ2L@XxXY9{5B1ed63C16(dYDqwR@}PR7iLq=Lb>y)k)rE^&-UMf zV9qm)a2B&mKf8bXONOw=W;c|ly*v296^Q>tl#rqaQt!8L@mb18 z{c2kD{v3lWQ?{XG#?;FL{sqK9yJFj>p;r&C2PD(r3esK5wptlKsse-FOD@sJYD!<- zb`>a+`n5W86mS-_1umPrcpwx-W=YHmr5e>mYexxrMBeUji9Rl}D8(OVY1N6`X*M}z zs{zj#sdCeNGWj%y2W8=_-A&A-P2$=P)=tLc-M2e0vkac67HQS zT0f{sSL%JSA3w_dkZ)}=yLIZ!#A|4me9$UlPevzEZvIjob7PSr|%^flM#u-L4ChkMt< zU{Ozoi3&1-9d12Bk1_G_FLI%;gE$OJ2YSXu;%`i2h2@_tk%PR@eq9ovjXy^QI9XGtI#i@o1 zw&YfmOCBfn4oq7!7kX6|oR2`XZ~nr}&HICoBVf!?p_p+T^SMt>AJjlp6hflt$1I@jVK3)WKE6 z?f#2=xfleU6-TF{EEbd=u0k8B!5L>lw&=mXs9_+2%Ne-73BVuG@Y^WLVvOq-QE0i{ zA+Dw|-?=ECO{ggkR%-`00j!LyU?~9*A_)L@ocHl!`Nl5cT6&KfeDrJ(A zXPeWj%J7W((iv&@GCeIakgu7<`x)_kAU#Bz z$f7#F;}P>NWr>&LS14g)i10aDM)fI_3Mo5+Kb~5jsjAIF9A+RdDrH`py!coGNF_yQ z#z*|1qCWtso1}c{aV(yzgeT`bMW;@(^KbB!Cn|C|h`2Y$RH;mXV+>@%KsIK}=?3}k zb^rqg!N2hcV9)LPpl@a~u`fRS8=LT%L6B3v_mYwTE-n5%7S?mw>p8pdIR}+O!aU+B z9W_?oKcy7R%#HoI3s$KraGk|(&X#jSqD)Y7H26af+Mayx2?^)Dc=HDdGfBHW$H0vO z_+cJ4mWp}D6v!RL@~#!~nT323e#oly9=)`HM_dJnPf5y0ZHo$1knGH&o3%xQqeX`_ zGC|UoyogNjhuAX$FS;l5r>wGa(&K-y3szzY4>zvw5hunl&bsNSy> zXkT=>yo%5RCDMN))fI)0gfDR4>1Mi!1`;M>7-ki4o_aRqB< z2or!nZecY+x9AOqrMHVU`$?s(NI)))4&p$t*ADiK&a=$Go)Jjw-Xl|gaIhi4_+d8x zA({$&Cu18aC2JfUBR=9e4fBb{bj=`eSXer}x@d~Mf2(x*Q*~`c(KZ#;HW(7*fr2~W z`D;)$5|qRSj73oz66rlk)#8H>DJpeyWPG(zl6Xd;Lt^-x6=sAbVEBtYb-iBbvx=ek z17n_mJXklTH#lzqV~a}R^!ulq;%T35+UyGXNyq#d@)d0*#GGxG-XQ$r2T|+kZPkJy zm7%ruUCwZSitpS+Px!at(WzO7O;y_2ZYl-0KD%P$q`YKtML=1Db4SfL|^IH4_s zL96^7$BCoyUBb%5eYeo{q@wXG07386Y46sR21<0AddIKIsH(_$cBH>n%4QNaj-83dKj}U@-5tz?QB@g+dOd6?Jeby#rc#tE1iOpOwO%65(XiiX zcq9(|EC6%tUZ2UgnIYITP!ysb<7>C~o?Gf((yduh>1#0TGsZRL8Dbu?@qU^mcg`h! zX5&DE&ij%tSbzGxrKHIr1mmu09c$Zj9NkYs?;8Rg@1d&pAvD`Mnh6V&YOjkQXi9+* zGau7E;1%o!KCSMq=j{b6oM;P9Zoqepl$9TW&1u4l*)>mqx)BZijOvKs4o&2YK&()j zlqbt7?TzLtn#?B>Io)(gAded%g28vTC(Mx_O|9bJkdoM}o4I}w(oN_UR{u{4ut|mj zg*(=243^zJIX(0`6t-siM|?wpyrn)Iv%B~07{;Fx z_H#AbJK?$nlaH-WB>p?hK9CLIm?Jo=7Y82=;b=fjd*a)(PY8!pVs<@W#44AsVzlXa zoF`VDO5g#|q7>XF2hjQX=pTcKTk5k3Xn4IKa$h-Azd`_LZQ8RK+|$E&6-@x$zI#CA zk5U;KQa^q*HmZlWd-)pV+ zeo0U4WI?gr+u+n#~^b ztnMyq1=73{mM>*3mrZV`DI*w|CyT^3d?|?QzG^`P$&ku7(@!7$6K+4kH*0djy)N4a z%_9vUM~3e0Q7!|bd%d#2Fw)F*1KUstcY32?xk+)=&6)3n(C0}Gx#2^4KsRbn1)8F>Ijc|F( zNPint`?f|1mcBZKc=QG`qa?_{fL=J*NTSHTSAUE@;P8HojR${Jf0DA_c0#omZjsmqpAn?m~pAAH&~kGQK0NxjcTusSmox-+^tUbB~7NF1!fM zQxo_8cy&edhBZWrrXQ=Xa#Npm`4A)`??c?73F{R#99R3+injj?ZO~RZiFkKy`#W~T z6A2Dbk~>xUW}3)e{M7|qf4>;=LNmbnAJHh9v{<`u@r{zZI(Z^`d3xl++u8?eM3#Pm zrDl)oQ<(D3G~?BA~uV8@+4ujs>{S6Kz_(gi{utOj(gR;NJzsU@)?+pMTUtc8HR zTGF!G`3@iR`QNE>YX&|mANfm1!`4h3za97ak9X8D^3DIH{ z_diBt*Nwtfu12o8Ij$XdoWFK=9aJ7*C%(-&KuKgoJP)lp{Q__CqoNR@3NmH@qN%nP z@mBM>{@M|>btlKqpl1}z-NAr0Ij{VzJv5t(Gl95{|n6LwfR6FKl|0%@oNY9SFp-2veobG zfZs!5zw=UmXY+SJGg2WH0g$2@bS@7~V5okX$yH}OiKZS-pdX%nhFr7e`&0P~claCM z|I9t(FXkgdfxioCf4_Dh*Tep<=cBg5P_eAPd=Mv|+(=$!9KH;4yc`XwL(bFX$u5OP{^aCSFOL`i|AiBug#Ev9qE+G1|Hg@1ITEKo*;Jmc zcIeGI{n_@x1;;eIuMu~)>w69NDOt}CiR?V2QL^+ra&WrgYT0ye;>OU7(v|O)C)2Dehd|?yZ9tkEww{+GTZ)ps#ZS5hyOT2+p_RP{*-jQ6X*U|*?(}N z=Tw82=7rpI8{RXIZu||D=#Gp+2`QShs0LjT;{HQH5%9jHD7avi37vjOcYS$GE;Nis z{Gi`v;G3yRwB z4eyB%2%PinhYMMeO$BbkPYuY&|NaUnrn`RYmz7}D9{sGyqCwZEioWOz8Pf`$>@^`r z-;=x?^a?w2UM<)Mwax)Tq*+0ok!klRGk>_t~! zXzI8r-m^O89Cw*v^tHJDl$l!ZTDOtrhx!+XcB~dZH+E|2c`+@%t$}N3?xkdX#xeS! zt^GCU2HSH!y~>f|);mPfEGRv%DvtyO9Ed%8>8OVN#q?JP>@MZ^9z@v3?XVF=uyQe{ zot^AcPrG#pXrA}I-PL&h>K|#RvmV$->(d!ydk)-j0Yj?}A)Nu5jyJ-EXGMZ0-!zq2 z%|;(}@cD97%PD#fzS$|ZX&)Ku)#Rl~L-Y3p%|vU?H@gtz5ZZGU#l6k%80s1mHh0dr zwOlMSiqW1=1OAMQ-rdO6I_-Thu;o&I7{BziTfq?x!wb$A2Z1?(vFHz^bKx;M9{I7Q zTTTjovLb24NBVTGlm(}OI8pFH>(Y&I%2^wGMUpl|A|PgNtmb2B$oaZ2Pc;+k?URqf z3*M|W*qr~ovz_kx)mYEB*ZM%aZ@=0FJ-@+SUq1OhQOm#UH=_Abdgm~R6W>2I*>&S9 z_k_uzXQO8><$ikU7<-{&>{6M`+=Ng6q4KF~AOB2GhaqLlW@2|atnltUdj#Ude39Uf zPM7qrFP;p2eEnrvzkbL})kpr-<$vSEYkHyIpWR6a{ed; zn}6#1JO1WxAZcsAH92VlTt=?~h!b@Ksfcfu;Y1rd*bXgSlr7gmxQDi=+HBx^ksF!w zo`%Z&VIX8_8MRCAJo~gg4 zw;S?H^QkJ?<*S(&Jm(ei(If84epz-^ zr3W7>^?Hi>Wlt~7%XLWf(i5)cn5mY@J&S+p|IRnp7{rNh(LF&=ee>3;E=hg)*&X`n zYQFZ;E~&q0E+fmAbwIV;fcU8^D#o_}=d~azH`N8=#KK)w<)Uf{&u^XbE!w_RZgP02 zld10u;>62BCt5r2Rr;4~^HdUY#JtGB_?D8YDlB{|IXUa}GQH(Rd{`ETg#kJYIkGFigOcCZKEAB|65AJ?iPat_l- zB1oYfvZ@BqxSbvg+*#{#om`F4SLKkRo!E>o_Fez734aHvS&v5MLrcwnepz}^_t&!H zX6Dx0beJU_hAX3*2bEp8VSd1gOtKI!h!HfNV+ur4jl|`Jak8D{NQ9_6O<2-ToF*#m z*4Y~)A2DGRgh&c1jGyzJ6%eC*`j`%}knZZ=S;nY6rkH8;&P6dNZaYSmo0DQjXK)OSq(!8ACJ7jj`!9P zA$?a5C4%8^l1J&yw5>8?)y=G^3jRNtvMQ^A7BN`t0SE?V$Wo6H<(w_(mAK>&5s)bs zfhO*0RK-4#Z_!i2s?@@r5hCuJI>w+)_ONSBGd7@{xwGgQMxDLl2_JdL7UJ-)mJXi#XR+pTb7Ref_rR?yl}FgQtoZh{oD%FWy}qcj}ltRgroMt}<-ZjaSfHZ8=|XzcX^1 z%Y+$9Uad@auXOePwWi$?Y4qh>MSL`H&-ji z{k4VK&vhOV`!QA%`u*KI!AFL;jOc@GWRllrx7-}F5AwzLw*Q=q>`O+E@jVa@(A4Dk z6McsW0|Fs)-Ll`VV*g+|BQ@Qf@Hc}b(2dPOKgD3}mT2D#S1cg~lyjr*PG}l1 zprce~mJ{~86qQ^B!XtyAVr(t4zI192Y^dm@=Tfnf%}HZ-PZx3HAQaTf=??68kyMtR zBJnu{e~qf1>;XQ&2`3}>yBA#CV=j%u8YVcc!6bVr3fj{(-HJm;q~4%P4v!WPkMAyu z(R6)BspXPTtirpS%!|AJ)DY~ai^L;)F7EZ=JUQ^&FC|E8y0Q6a++GAn_z_=RQJ;2< zcw+9Itp3Y6t!GZ?)1>aSxgc=K(>F4@sy4q$yv%42o#=hQI`{JPi{wD=zM#kEd6N-G z4xtT^E=j_2z3gZWrq**#v{%QDUm{i zk2p+vmD4`o2~N1Vj$-kG04(Wyywp;~VRI*(=5Y}@1c2Nt^iBXLOOmLvD!0|uep$Eh zji_r{d1~Y1p5(xj()>6;X>97$14|J}NKl~`=@j(pEo<3fYRrN0DciysD#3R|w7I!g zy@CK2vfmM{w@_eP-Su3gV-+d=I_?fW&oE6w3q|_fdF!HLvh17|U&Jwb%C2T1h2Wce z=|X|WBmjPhRqN6A*8AcgCpB*CTbR>KgWPt@`YQX7EN3vs8m#hg5gTQH@VsIs3Iiau zH&Kgym`hq(6HdhtPV~WI`KObzq?W>0$~vaWcQys1kZ%4yCt7qxzxWlPd>Ef3cbm<0 z-9CWr^L*(0=Vap9o-_CDWn%p0S21CsM>_U=c<2?*5!emSaB|=66Tatjy#Gs5H*(r! zkKz5d{#++AnFhXgJ5P!1I@#@Xj3L;}jM8^iEuL#QFOxB)W0RxRQ5WqJDK|Yz-&yk* zb~57j{#)-KDl*Xi-7udbkLIr2Bob->qFm~WXQdn|y;9xJPiXi=2(EIGS+R@Ns87a) zlc*xrdG4vzo>8jq@_Fxn_|2X|%^zVI611r%>KVzy4PD7%)wEipl5m`fdbg;BREIzNC>V};w)rvICae5{uJvL6ioq_UU84B{tDZoiemh`0eWsQ_!^?6(6=gQW`5;#*NJrP|IM!x4AQ*s1yhiWdt~w_3C+uKYg08RcyL$qNj+8P=uz+ z8Z>;Js4-lN4B7)}y}MTl_shgjb$x{o1gLW%>#(f%z1x^NbI7o5TYsj!1myD}#Ubb` z=8+;gt_W56FT+D)VOneJW1=gR_ixx%RDy5h5_`xH*h$DB zl~`*P%t|}G^&{+*AOp5LtV@%$YVDG9@6r_aNKb(2HwNJy9SwH^VkpEoKPo7Wy#MGR zExygV7$Jv>7(I9MW* zN!m<8RRd76!(+qhEI$&C$fi@juEq+;U*yyA>f{(H(^!ky3u^O`Z%s&#$QbR_Am;dq z&4V};1UgU0Ytkdd-Qv^T;lU&zL_D!JE=XV&o5Q2GTqAOsxI6|4%R#?mg-7%!n9W{@ z1`@N}fqGLCnuP1-Fpe4F+3Y}+I^-cE#M<~)?|>;=D{;o1BtgPi1yR>`dAwZ3B*l@o zbFmX~&Qs+gGdm38nt&PbylF;~S_bwJE7*FB_>_&_EGG#wFw1t)UxGxwq7t#7cr(ew zRggBL&IG%Gh6-G`6Y-K^@S)ie8P7DPqt?X}2`$X^W|9I!e;RZO*eR+lDX&*kC_nir zJRYu)dRzE80GA-@wA|Hexx2(6$Sfw47n$Ai7tjzy85MK9<(~QEy<$2hj~p&82vMg% zZG%&72cc&Np(<>s!DW%aAQW~{3Y0}!zXI(}_Z(Zq1MN_PR%wrY)0)4gU0D>@F-8h= z06|K6$mO)gP9R`X9HIrqa?-&nln{^+-XgB!23KbT4f5cSHuJ7hW_(M=tx32x0LM}w z;MvT)N$J8#>EQUxgk(UQ1oPl#O0b~{Ko)B;GcOY&$c!Bu zj$O?#0F(?Ms1&fwlsznwRXCY5k_j2r&V6a0I~JTfk(n#O=Ru|ibGNVN@|2)Ch#dVI zz@LTK2Y`F@sY6QHtyE_ z9x`R0E5&Ag$;@4oD3B%R2_4UuU_-wD%!N(~!^n`UWJEMCV>>mMOv&G&Qy@>vAzMKe zIQh2dJTe)ozLjq~Sop^ts&y86DfWO)R*_yp5xBgsg@MX53;XPgl@f}L&lXEf31dhw zV=I}L1^?9s;vmmfmb|DbamXrh{8SQ5DFvhE&aEZEY@|nPDHt{P&O)8uD)rkc1=~P= zt)(ul$WRJ0bgKA}!7dd5)G$!|P%Z)t=$x@aSknc}xv)c2j5V+P5C?v5sQljbij1=r zV6*&NCp=ME?i{JonNsP@DJ#`MdS+F6T>mGEUglBhNJgAn6*xy1xcNyK&4$)%XXYIP z|K1SL!HLbnL^EW(*i1nl5L;0d+mhbWSv82Mu2atvqC!L{ka3+{d_sZvR<7-2stO6F zN`k4=VVX?XA^LrT0-!dz3d=$;R~5kkIos+X8$dJJ0EWY?DF^-xWrIPV8yNs{_2HjS z4@1fyK61)v*U5cf@xbUL>O~hu=zOE4oK}qO}Q&$ybU)MYnstqqt z-EppVH+EgzpYl-UN=+HJ_GYqx`sbScW_4HnP?V6mDrTKdo0!_?IuUHWLAIE(S-p5j zeMo1WJ{IdSSbuG{UR|o;)D_(5aM$gxc z-oF~@QcZrkP5$Sa0z;aDvYW2AHHE%zy7{Y#A=MnA+Z=VSIVPkzF1tCQt@+mL=A>WE zOsSR>-IjajT0n}Ik=>Hj){^tO1=ImqQmx>5hT?PJf3~$eyS1{dwd!^2gI}#|skU0( zHt@P^V@O+bc3W#(Tl?#_$G_S*Qte&3?LFt(`$F0WvfGE++J|4aKW%INe?ERM{f~P5 zw*U9z*SBNl!pR6Y$>Jrqpu3FetM3(a|0K-VLX<)aG7HP#Au`OuGxQt@GCFs6^1}HC z)C>FKk{1`YNxJ*RJuS5^);KyOqBL4)nqw3nA+T)ofCfHM&91{mU8y*xh#^MoUv2Ee zMhh_f!QVBN15WT=${Fei*`V_B;*@`#zT5lK z3Wj&LjGuvefV(urV@b0g($89jBZwZBEmV0GdbpyCP}+75Y9SKQEpe|@-8Er8@BTx71Td?{aRbh) zbsEm=GIt@%+^B@u-}j@_eq>427!>2I)jh=IP92ey!p8TPM;FfSlNSmZH9M@3ALvWg zbyxDPQEkH^hvCoFar*q1o>RTiFIW4-8_()eq}@3uk@6&xkvZ^M#OuIivA;Flqvm%% zf15b`Q6=fHAhY)1xF+{&!;{i2T>rFn5q9tpfAi`Pf2!z$YXA72JIhyJ24Gz|szDz| zn%og7$x%$VeeQIK#POQYrU2)HoQH^Do zu@!t4?D`JYCwGjjnxV&EBGnN?2g~htu z8Wtu(`{9aYfPP*?iLh`d1k6f*9#D|TX6`n}!@)C&uE1U={AFf@G?!+qDRL|`Ks^QU zxmOG^RvH9EoDa9y#p;b25G^g;F4$9~l`b870`yQt=1@f{J77;tN57>r>yPRc?&`as z6XRG@RiY-RL^=qDc%JZb)a4`19idm#V3PvMTuA$6{TRpRE%R!~Qz#qC^X%KR>5{-@ zi&JOsl3RG^w?7{ghlz(1AbeBK?mq)3PJQ}*2R3M+!1Jkauj=#`;3M3 zGL-!`_$=Y92n&Wr>{>yc>{YBH{j@2E{I+Al;V$AqOAEB6U{r#`8YrhyDLDnFdK7U& zA{RJj!Yu1sqI1B(LpZbVEsR3RALYjQPcC*tFA}yllsX*b5JrGzT`d3T52(Atz4s5Mms)fiCDy3It6b(`5ayxUlC+27Hc;@R;yK z*ixX+uBX-IjPR-OHEqk$Z@qv7X97wEpcKFfD#56xAI6@Ym}*qRu_7g10SKvzCcugI zLX?`l!3FVJUmn`)CLh~z1Nxh-(Q(*z z6vW4XIrB)7j4;-+lo#=hJF?qQFq#(`vCTY3K&81OM4NLJEEOIlm*Foq8%mVY1$M5n zkj2eiu^}AzPHKi4+72S1_{1LLN`+$Gp)n^;#?5gH%6IV-p{~LZBpWSM#t=}olXsOr zQdpubJ1p;-jIq`FIcPL?G6i-w(wh2n$TDvqjX}Gp>S+HYtRgwR12_Me>onkU(~q0^ zGbQ@K-Qba6b&foD$xZ9a4x+zhUG9(VZklz@&#q_Is$* z2mbHh?rD(!S>Nve%b~ov|FNOG|1w+rw*5PhmR6BtG^MC*U6G(L2BYv)zsxWd8 zF1j0kU>FWFlSQn>o`FAhe@{3x7yA9S#+0c7e!ryQ)scN%avfIY+yy@;&E2JOmTAteI?>foP|EP zJ7b>E>Z;D~or^H1)azibFj`h8cN}QPl;gt}q@k1h_>>{V;zm^^<*KUxtG)AjYchMZ za2k+K6pLIe>JCNwF6gepx8ZA3tg zpkhUaj%^SdI!?~XxjUEV`aJvz-_8D>{jR;%`#jX}n)?qSu>MsN{8&SCWg{kIOLuKe z*x4SvTqB;)L^gL1|A@G;ukkNs>#2>s_gZHGdz-`D=NAjBhOCIW?Ui|A2^itXI$zV> z8QrK9V2lMC4l!ZN!tT627O1@0d#de{-LvqXse%|i>P?-FDQY($g07f-a*-%hPxKxS zTiTj&@9ma=42@JA0gy&;a`io`hfCRH=iwZQn?H>mb$78uKn(j&%@ z$Gav61zvr*-DvyilsngTPB<{|?@srU+L4bl_I*GyMP{$Ww=SE>$R}LZTZz00C^Uit zHryFA@%Y^|A+D1opTV=CsW@vOO(}$>-^n_0u>(aDG0cKb7anU}e|g`pEY|?WWXgZW ze2^kbx0ZgV`oePILmc=tqH4r^ZAcRO&|wcdO2E*Sz`5h;+MC!rhCY@yQ9-UQV}#cX z^v4^_BX5Gn{L}u~Xln!1HDfi;K#iVMkrWH=tI66-$MvPSLTx`Wf2&8y`m2v%@opG> z5OgH$LI$$MJ?B7B;A>05+DON82uMYcs(uJth^Gp)flSF#kAL&&D6l6qWUP844NGi; z^Rl8rj5SuRNyU`feHXt0bi9P0G_N@(bUuIKqh8cjD5Q7(>K3DpktxQUCGC1h<<$!y zRxYtqA3IJ`DZJ1)Wocy+n^lk*t3UMwD_Z72o;np3iIpWADtIIq9+Z#4;q(B zr;uEiV~^g1RZoPQytBY~?aI|6$soF&M!tCw&Dd-pnW597OF5v5558a&W#R?hvAcS) z!0~PUUX4w_QjTjlc60RI&$P*lneMLpt80u#e9YT?(VEZ2V-`v}h;jv)za(Xl4cWPn~Ot{A)@lvNQ1u1 zMTp2AhgdNmclQkCx)BCa^i7a;-7bsaYz}krQ$25>xrO4a-}5F76gQvQD^M-|)Nz8=Z5>`eOA@oULNVHLoqQB!e`YRtYyD4fiAME#nj z=Wm-(l~N*XVMFsBnk9@$k!oL%60t2ar|9+L50^Kwn811Pud`OAfoJ34S>G2l6OoD! zxfp6fA#g#`5R2=K_3V~4Je|0Ynw9sGlIMZC;NOf_CGV_J4zU@ z9~<4}+Y=@$-i5bE>D#&VGuw(7AOyiFYr*{5kp^MHYw5AXgPu@wLz=2a`+Dk=QKW{o zXRzIs01zXmaL@PiT6Wa9qkU=U0Eux(;Nsre$OMLZldI1(nE^mQIY>1A#yuLUc+}(j!WA8=+y1E)yxZ z;@UQ^Y%%AT4mi*y#nLF_=ml~vJ855YHER*yBnt!U2m6}e4u-cwm z`!bbT1OS`GLB{{h%6em7ANuYIQ)?JwHVA|%n_blYZPPOrADIi$GAHfyS2|O!fKX}Q z+qAQTif^3k03_)+|NAoYX;T)~zhUTEc~ax@BRwJ}i+ME<2{2H>7M{Kw`?7r_!)Bu@ ze`vVyfo6vG%h=^y#;(Ra{Kp^ueDVx(F{jD*ZP-bNf6ab>f2p`uFGAN$mo1pLU+mP! z8#c)7i?Jy^_+WO5A$7?Zqb%3b=)YiXh4+BS;|twRq7gWCK5YkmVZf%+JLOyp6AAl8 zWBljELOaV(W;Y~VJRtw>58w9&`&AF;K}g7>6G&^b@%*Wc{SyZ~E||^9t8P|f){K+A zrM#N)wny(w9tpr$01jc>{F0dt{EeBt9#qQDcqPA&uGOg>DYZVH*pinQ^fDEw6D2jA z|Kjn?q7R48eBI;n=HW!$Pr4R~i8Oi}A{=(V^pU$nl?L`YUAXcgk>q&m=sGSEz=^1& zt7W}e9`Zvccqlzrc$kbDqw><}{SS%)4vG5YXJpKQzONT+%f8$;^?D_hh5^=OX@y@t z3J)2^@aT8#3xu0*!auM5S?Z$Mik}$y1?oaG9Za(&A4t)Nux*V{?G5qYL7Tps2y3#y zP$c1hb-GSU*-9Uy^t`=jU_^utqFDl`;Sp8Nm>Oqg9LSX<*RTl?OY{0kqsPe!ym}v_ z8t^#~7j=RQ*8upmWS~dF1Bponal^#HjhN38eoq&ANo1>0%~N3ms3+Bl8)slD9;FOF zZAHHjMg3{9;S06j8V)&^vSJ`bfOdIyvYagC*h6qX5Q+;S*nwU)c_vF9^`c0enrzE9ngcXYVHCBaYKZP09?s| z*Gu4X^54DXRSwN* zNZkPY#XmiGF9}Zc#>|g|h72R&QJAuuJOe!Hv%(IIr_tmt#NkA^Rwh^Qlz3d2f@OGX z@pGVjq|$88$7x#s9bC{IV$D{Vq7I5r#ezZjrFj@YRsAi7p$dY09y=j_g6&Vh1dy@% zGem7Y)Nl{!1UGQdA^;R}FjJU9D&ZX=$VijkB#i~!r9oh&tLj%qGXOXZ4HIaW-|h{q2b# z)ky1g2K87(23J5T5qw@0Xby*KFfI;c?@O&B<(d(DOpC`vX+NMk*qt`Jid~1gxr%Kd zX;|?D0X4qcpkMBcA(z$8!%ApnmwREo9AyKw;z@awB8HV2UMXi?sS0rHt3B1u$u0#j z>J);*s*7P&HgB=6vq>n{I_7Wx966F!6d6a040;cRlk`y7&G>7{Wulz2sslzb*=O}@ z6}_xLKXd|%FQ)?m5GNU^3|#FgpGysGL`hWDcYUU`O0mO&6%QIv z(=ZgypJcg3&p*1#46*#nXot^{tN)f>(i=Qa9)7kmaOoB?>T_1?_N9u8T;~VuS%wFhL+I znQsz`!FND0cm}@SgEI$!^ulFl?g=VL38EM=$}b^cY2yIQ8zI5mG@6Zr3=^5d#Q6QS z!=`?ffNS6oKmZnD8(wT-E%+2}nMor>Ibdr!`YK|WbP;V{X7QRMk8G6h+&m2qMI=k3 zU;=QdJe(k#Dw2U?8;&Eo7fP|2FMXi(07S&0n0`rSMScED?;`5ju}h!yngRCEHUP{K z{Z@>oWX0cF!j-cAfVBbYCD!|$xVMCeJ=U0LNqx71ce6;br$er>f;D3ik^2kRQd8O$ z5MAT&nlD#p?+}OR@IiKfPR^ybW{xHfZvXZm%juOZ=_btpy-Rmx=7{}h{rVV6FOEhA zY3}-?U#a#sqitw|yCg;RU+R!&Yz`-JOfOPf$?<;kBkYzbGbF)8LC& z*||2tIW_mAo3so*dTpcQ9W39W=EOZ`d)J#MnQznMxSjpZ=yGyr;1krH-%F=Hbrt5K zKMQfS5*-Q)xvz&0<)4wy*(Wlv-Sc7N(r*0YgK@IZl(;?Ik3w*ZI`GLFcm|LJ|h&zulq7RK%K;mb70-#M7(*{n-xzD*EouA&C{C};CLpD zdzCdRc+N>X?P=7p)7^K*wZcNb=1PjZciPT|*f?XvHa_zKq*u~9n=IU#1g;@+0|6oZ zEL^X(PaVAW*9Dl@WuV;tU`~^SK+kA`5Nu6wIi2?PlyEr-bxw!->yv|)A|Yr8a13j^ z_T2Tq{?Vsk%jFKFF)pjYa_ANT^&uC-79;589w}=2Eq7c)lwg*ek-Dyn&jZaj1Ndc~R zGATT}9>@k*a$x;p{`l`$>Q59+%7(F^r2<@Nju-7GYVlcov;aYWkroDWg=-y_S9@ZD z#NQ$V>)DXHH#E9tnN_R=Ql!96H4tgxOLsRU6v^KlTphxWpucxH<N z^3>Ek0)($=MvZge?gH>Z zH4&RGYc|nE)D3`|vWjQ|uqAeeQUMsW#aObSrbkYx!)6lHX6P(3^5G2m{Y*l3B@_oL z-AL1-4#y88yE#xxF~~C2Oj$D3jM>{whr5$!@R%8y)(qYF3H3jx5>_SPB5(lxQDjRv zuSl*&hGx^1GG?vhiDlARuoF9sj-eyx?-be&oYw#ba9YjYKX4}l5txSik&sdr)G2kA z@(L0yP$*xBRSW^hlTvvm8^8gdYMG4|DN<4sTcT#7<;#a`NUrgN+XEP09;WU64wx9= z4uGBf&n!hen^WOLt9?d00?ni=MROA6cKa(;&wep{(e>L4Z^DSvY|{f-_fu5Wv%dph z+^BGR@U?s;26KNU_Nu7*Fqjy;D1?q8U?4Z=$Wa~nt0 zR79u<-GM)9I`a81zrWx8suNv>97(Lk)mY7+vUke#!a8Ku(HCNnbMuAe7CSx=ck?S$ zd1{n--2DOXfjxM{++T@lkMt`S_6{x>%`KS77UYd4s@Y{7S5pR8jnB-j@?@*2+G~8fwT$4k zEZ*Ar%C!rFYk6~P1+q1P_PS6mnhsvS#9Q~({$D + * @copyright (c) 2010 Speed Freak team + * @license http://opensource.org/licenses/gpl-license.php GNU Public License + */ + +#include "welcomedialog.h" +#include "ui_welcomedialog.h" + +WelcomeDialog::WelcomeDialog(QWidget *parent) : + QDialog(parent), + ui(new Ui::WelcomeDialog) +{ + ui->setupUi(this); + this->setWindowTitle(" "); + movie = new QMovie("start.gif"); + ui->labelWelcome->setMovie(movie); + movie->start(); + connect(movie,SIGNAL(frameChanged(int)),this,SLOT(stop(int))); +} + +WelcomeDialog::~WelcomeDialog() +{ + delete ui; + movie->stop(); + delete movie; +} + +void WelcomeDialog::changeEvent(QEvent *e) +{ + QDialog::changeEvent(e); + switch (e->type()) { + case QEvent::LanguageChange: + ui->retranslateUi(this); + break; + default: + break; + } +} + +void WelcomeDialog::stop(int currentFrame) +{ + if (currentFrame == 21) + { + movie->stop(); + this->close(); + } +} diff --git a/Client/welcomedialog.h b/Client/welcomedialog.h new file mode 100644 index 0000000..788a7ad --- /dev/null +++ b/Client/welcomedialog.h @@ -0,0 +1,36 @@ +/* + * Welcome dialog + * + * @author Toni Jussila + * @copyright (c) 2010 Speed Freak team + * @license http://opensource.org/licenses/gpl-license.php GNU Public License + */ + +#ifndef WELCOMEDIALOG_H +#define WELCOMEDIALOG_H + +#include +#include + +namespace Ui { + class WelcomeDialog; +} + +class WelcomeDialog : public QDialog { + Q_OBJECT +public: + WelcomeDialog(QWidget *parent = 0); + ~WelcomeDialog(); + +protected: + void changeEvent(QEvent *e); + +private: + Ui::WelcomeDialog *ui; + QMovie *movie; + +private slots: + void stop(int currentFrame); +}; + +#endif // WELCOMEDIALOG_H diff --git a/Client/welcomedialog.ui b/Client/welcomedialog.ui new file mode 100644 index 0000000..9aea76b --- /dev/null +++ b/Client/welcomedialog.ui @@ -0,0 +1,35 @@ + + + WelcomeDialog + + + + 0 + 0 + 800 + 480 + + + + + + + + + 10 + 10 + 781 + 461 + + + + TextLabel + + + Qt::AlignCenter + + + + + + -- 1.7.9.5